請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/89115
完整後設資料紀錄
DC 欄位 | 值 | 語言 |
---|---|---|
dc.contributor.advisor | 李建模 | zh_TW |
dc.contributor.advisor | James Chien-Mo Li | en |
dc.contributor.author | 吳宥璁 | zh_TW |
dc.contributor.author | Yu-Tsung Wu | en |
dc.date.accessioned | 2023-08-16T17:11:45Z | - |
dc.date.available | 2023-11-09 | - |
dc.date.copyright | 2023-08-16 | - |
dc.date.issued | 2023 | - |
dc.date.submitted | 2023-08-02 | - |
dc.identifier.citation | Yamato, T. Yoneda, K. Hatayama, and M. Inoue, “A fast and accurate per-cell dynamic ir-drop estimation method for at-speed scan test pattern validation,” in 2012 IEEE International Test Conference, pp. 1–8, 2012.
Y.-C. Fang, H.-Y. Lin, M.-Y. Sui, C.-M. Li, and E. J.-W. Fang, “Machine-learning-based dynamic ir drop prediction for eco,” in 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–7, ACM, 2018. Z. Xie, H. Ren, B. Khailany, Y. Sheng, S. Santosh, J. Hu, and Y. Chen, “Powernet: Transferable dynamic ir drop estimation via maximum convolutional neural network,” in 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 13–18, IEEE, 2020. V. A. Chhabria, Y. Zhang, H. Ren, B. Keller, B. Khailany, and S. S. Sapatnekar, “Mavirec: Ml-aided vectored ir-drop estimation and classification,” in 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1825–1828, IEEE, 2021. J. Saxena, K. M. Butler, V. B. Jayaram, S. Kundu, N. Arvind, P. Sreeprakash, and M. Hachinger, “A case study of ir-drop in structured at-speed testing,” in International Test Conference, 2003. Proceedings. ITC 2003., pp. 1098–1098, IEEE Computer Society, 2003. C.-W. Ho, A. Ruehli, and P. Brennan, “The modified nodal approach to network analysis,” IEEE Transactions on circuits and systems, vol. 22, no. 6, pp. 504–509,1975. S. Nithin, G. Shanmugam, and S. Chandrasekar, “Dynamic voltage (ir) drop analysis and design closure: Issues and challenges,” in 2010 11th International Symposium on Quality Electronic Design (ISQED), pp. 611–617, IEEE, 2010. S. Lin and N. Chang, “Challenges in power-ground integrity,” in IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No. 01CH37281), pp. 651–654, IEEE, 2001. X.-X. Huang, H.-C. Chen, S.-W. Wang, I. H.-R. Jiang, Y.-C. Chou, and C.-H. Tsai, “Dynamic ir-drop eco optimization by cell movement with current waveform staggering and machine learning guidance,” in Proceedings of the 39th International Conference on Computer-Aided Design, pp. 1–9, 2020. S. Kundu, M. Prasad, S. Nishad, S. Nachireddy, and K. Harikrishnan, “Mlir: Machine learning based ir drop prediction on eco revised design for faster convergence,” in 2022 35th International Conference on VLSI Design and 2022 21st International Conference on Embedded Systems (VLSID), pp. 68–73, IEEE, 2022. J.-X. Chen, S.-T. Liu, Y.-T. Wu, M.-T. Wu, C.-M. Li, N. Chang, Y.-S. Li, and W.-T. Chuang, “Vector-based dynamic ir-drop prediction using machine learning,” in 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 202207, IEEE, 2022. RedHawk-SC User Manual. Ansys Inc., 2021. T. Chen, T. He, M. Benesty, V. Khotilovich, Y. Tang, H. Cho, K. Chen, R. Mitchell, I. Cano, T. Zhou, et al., “Xgboost: extreme gradient boosting,” R package version 0.4-2, vol. 1, no. 4, pp. 1–4, 2015. Gaisler home page, website = https://www.gaisler.com/index.php,. NanGate FreePDK45 Open Cell Library. NanGate, Inc, 2008. S. Wold, K. Esbensen, and P. Geladi, “Principal component analysis,” Chemometrics and intelligent laboratory systems, vol. 2, no. 1-3, pp. 37–52, 1987. A. Ng et al., “Sparse autoencoder,” CS294A Lecture notes, vol. 72, no. 2011, pp. 1–19, 2011. Y. Zhou, H. Ren, Y. Zhang, B. Keller, B. Khailany, and Z. Zhang, “Primal: Power inference using machine learning,” in Proceedings of the 56th Annual Design Automation Conference 2019, pp. 1–6, 2019. Y. Zhang, H. Ren, and B. Khailany, “Grannite: Graph neural network inference for transferable power estimation,” in 2020 57th ACM/IEEE Design Automation Conference (DAC), pp. 1–6, IEEE, 2020 | - |
dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/89115 | - |
dc.description.abstract | 在積體電路測試當中,過大的動態 IR-drop 會導致時序違規,進而導致測試失敗。相較於固定型故障測試,動態 IR-drop 在高速兩向量測試中成為一個更為嚴重的問題由於高速時鐘的存在。由於動態 IR-drop 分析的長運行時間,我們需要機器學習方法來加速分析過程。在本文中,我們提出了兩種新的方法來預測高速兩向量測試的動態 IR-drop。第一種方法分別使用兩個模型來分析第一個捕獲周期和第二個捕獲周期。第二種方法則結合了兩個捕獲周期的特徵。此外,我們提出了空間窗特徵和時間切片特徵,以提高預測準確性。我們最糟糕的動態 IR-drop預測的平均絕對誤差為 5.230 毫伏,小於供應電壓的 0.6%。與商業工具相比,我們的實驗結果顯示至少實現了 12.6 倍的加速比。憑藉我們的技術,我們可以在短時間內識別出存在過度 IR-drop 的兩向量測試,以防止良率損失。 | zh_TW |
dc.description.abstract | Excessive dynamic IR-drop in VLSI testing causes timing violations, which leads to test failure. The dynamic IR-drop becomes a more serious problem in at-speed two-vector tests than that in stuck-at fault tests due to the at-speed clock. However, we need Machine Learning methods to speed up the analysis because of the long runtime of dynamic IR-drop analysis. In this paper, we propose two new methods to predict dynamic IR-drop of at-speed two-vector tests. One uses two models for the first capture cycle and the second capture cycle, respectively. The other one combines features of two capture cycles. Also, we propose spaced-window features and time-sliced features to improve prediction accuracy. Our mean absolute error for the worst dynamic IR-drop prediction is 5.230mV, which is less than 0.6% of the supply voltage. Our experiment results show at least a 12.6X speed-up ratio compared to a commercial tool. With our technique, we can identify two-vector tests which have excessive IR-drop in a short time to prevent yield loss. | en |
dc.description.provenance | Submitted by admin ntu (admin@lib.ntu.edu.tw) on 2023-08-16T17:11:45Z No. of bitstreams: 0 | en |
dc.description.provenance | Made available in DSpace on 2023-08-16T17:11:45Z (GMT). No. of bitstreams: 0 | en |
dc.description.tableofcontents | 致謝 i
摘要 ii Abstract iii Contents iv List of Figures vi List of Tables vii Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Proposed Techniques 4 1.3 Contributions 6 1.4 Organization 7 Chapter 2 Background 8 2.1 Background of IR-drop 8 2.2 Dynamic IR-drop of Testing 10 2.3 Past Research for Dynamic IR-drop Prediction 11 Chapter 3 Proposed Techniques 16 3.1 Overall Flow 16 3.2 Raw Features Extraction 20 3.3 Space-windowed Features Creation 23 3.4 Time-sliced Features Creation 29 3.5 Machine Learning 32 3.5.1 Machine Learning Methods 32 3.5.2 Machine Learning Model 34 Chapter 4 Experimental Results 37 4.1 Experimental Setup 37 4.2 Delay Test Vector IR-drop Predictor 41 4.3 Runtime Comparison 45 Chapter 5 Discussion 46 5.1 Machine Learning Model 46 5.2 Memory Issue 47 5.3 Future Work 48 Chapter 6 Conclusion 50 References 51 | - |
dc.language.iso | zh_TW | - |
dc.title | 使用機器學習進行高速兩向量測試的動態電路壓降預測 | zh_TW |
dc.title | Dynamic IR-drop Prediction of At-speed Two-vector Test Using Machine Learning | en |
dc.type | Thesis | - |
dc.date.schoolyear | 111-2 | - |
dc.description.degree | 碩士 | - |
dc.contributor.oralexamcommittee | 張鴻嘉;江蕙如 | zh_TW |
dc.contributor.oralexamcommittee | Norman Chang;Iris Hui-Ru Jiang | en |
dc.subject.keyword | 動態電路壓降,高速延遲測試,機器學習, | zh_TW |
dc.subject.keyword | Dynamic IR-drop,at-speed delay testing,machine learning, | en |
dc.relation.page | 53 | - |
dc.identifier.doi | 10.6342/NTU202302142 | - |
dc.rights.note | 未授權 | - |
dc.date.accepted | 2023-08-04 | - |
dc.contributor.author-college | 電機資訊學院 | - |
dc.contributor.author-dept | 電子工程學研究所 | - |
顯示於系所單位: | 電子工程學研究所 |
文件中的檔案:
檔案 | 大小 | 格式 | |
---|---|---|---|
ntu-111-2.pdf 目前未授權公開取用 | 10.71 MB | Adobe PDF |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。