Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
    • 指導教授
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 電機資訊學院
  3. 電子工程學研究所
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/81725
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor劉致為(Chee Wee Liu)
dc.contributor.authorShih-Ya Linen
dc.contributor.author林詩雅zh_TW
dc.date.accessioned2022-11-24T09:26:20Z-
dc.date.available2022-11-24T09:26:20Z-
dc.date.copyright2022-01-17
dc.date.issued2021
dc.date.submitted2021-12-12
dc.identifier.citation[1] C.-Y. Peng et al., “Hole mobility enhancement of Si0.2Ge0.8 quantum well channel on Si,” Applied physics letters, vol. 90, no. 1, p. 012114, 2007. [2] G. Yeap et al., “5nm CMOS Production Technology Platform featuring full-fledged EUV, and High Mobility Channel FinFETs with densest 0.021 μm 2 SRAM cells for Mobile SoC and High Performance Computing Applications,“ in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 36.7.1-36.7.4. [3] D. Kahng, “Silicon-silicon dioxide field induced surface devices,“ in the Solid State Device Research Conf., Pittsburgh, PA. June 1960, 1960. [4] G. E. Moore, “Cramming more components onto integrated circuits,” Proceedings of the IEEE, vol. 86, no. 1, pp. 82-85, 1998. [5] J. Cai, “Device Technology for 3 nm Node and Beyond,“ in IEEE IEDM Tech. Dig., 2019. [6] T. Ghani et al., “A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors,“ in IEEE IEDM Tech. Dig., 2003, pp. 11.6.1-11.6.3. [7] P. Ye, “Devices Challenges for 7nm CMOS Technology and Beyond,“ in IEEE IEDM Tech. Dig. Short course on Challenges of 7nm CMOS, 2014. [8] K. Mistry et al., “A 45nm logic technology with high-k+ metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging,“ in IEEE IEDM Tech. Dig. , 2007, pp. 247-250. [9] C. Auth et al., “A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors,“ in IEEE Symp. VLSI Tech. Dig., 2012, pp. 131-132. [10] A. Thean, “Options Beyond FinFETs at 5nm Node,” presented at the IEEE IEDM Tech. Dig., 2016. [11] J. P. Colinge, “Multiple-gate soi mosfets,” Solid-state electronics, vol. 48, no. 6, pp. 897-905, 2004. [12] K. J. Kuhn, “Considerations for ultimate CMOS scaling,” IEEE transactions on Electron Devices, vol. 59, no. 7, pp. 1813-1828, 2012. [13] D. Kim, “CMOS transistor architecture and material options for beyond 5nm node,“ in Proc. VLSI Short Course, 2018, pp. 1-57. [14] M. Y. Liu, “Breaking the Limitations of FinFET Scaling,“ in Symp. VLSI short course on CMOS Technology Enablers for Pushing the Limits of Semiconductors: Materials to Packaging, 2019. [15] N. Teams, “Nanosheet transistor as a replacement of FinFET for future nodes: device ents,” VLSI Technology and Circuits, 2020. [16] I. Lauer et al., “Si nanowire CMOS fabricated with minimal deviation from RMG FinFET technology showing record performance,“ in 2015 Symposium on VLSI Technology (VLSI Technology), 2015, pp. T140-T141. [17] P. Hashemi et al., “CMOS Device Technology Enablers and Challenges for 5nm Node “in Symp. VLSI short course on Technology Enablers for 5nm and Next Wave of Integration, 2017. [18] G. Tsutsui, “Performance Elements for 5nm Node and Beyond,” presented at the IEEE IEDM Short course on Boosting Performance, Ensuring Reliability, Managing Variation in sub-5nm CMOS, 2017. [19] G. Bae et al., “3nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications,“ in 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 28.7.1-28.7.4. [20] N. Loubet et al., “A novel dry selective etch of SiGe for the enablement of high performance logic stacked gate-all-around nanosheet devices,“ in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 11.4.1-11.4.4. [21] R. Ritzenthaler et al., “Vertically stacked gate-all-around Si nanowire CMOS transistors with reduced vertical nanowires separation, new work function metal gate solutions, and DC/AC performance optimization,“ in 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 21.5.1-21.5.4. [22] J. Zhang et al., “Full bottom dielectric isolation to enable stacked nanosheet transistor for low power and high performance applications,“ in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 11.6.1-11.6.4. [23] “IEEE International Roadmap for Devices and Systems,” 2018. [24] C. W. Liu, M. Östling, and J. Hannon, “New materials for post-Si computing,” MRS bulletin, vol. 39, no. 8, pp. 658-662, 2014. [25] T. Maeda, “Layer transfer technology for heterogeneous material integration,“ in VLSI Short Course on Future of Scaling for Logic and Memory, 2020. [26] W. Rachmady et al., “300mm heterogeneous 3D integration of record performance layer transfer germanium PMOS with silicon NMOS for low power high performance logic applications,“ in 2019 IEEE International Electron Devices Meeting (IEDM), 2019, pp. 29.7.1-29.7.4. [27] E. Capogreco et al., “High performance strained Germanium Gate All Around p-channel devices with excellent electrostatic control for sub-Jtlnm L G,“ in 2019 Symposium on VLSI Technology, 2019, pp. T94-T95. [28] M. van Dal et al., “Ge CMOS gate stack and contact development for vertically stacked lateral nanowire FETs,“ in 2018 IEEE International Electron Devices Meeting (IEDM), 2018, pp. 21.1.1-21.1.4. [29] S. Gupta, B. Magyari-Köpe, Y. Nishi, and K. C. Saraswat, “Achieving direct band gap in germanium through integration of Sn alloying and external strain,” Journal of Applied Physics, vol. 113, no. 7, p. 073707, 2013. [30] B. Vincent et al., “Characterization of GeSn materials for future Ge pMOSFETs source/drain stressors,” Microelectronic Engineering, vol. 88, no. 4, pp. 342-346, 2011. [31] G. Han et al., “High-mobility germanium-tin (GeSn) p-channel MOSFETs featuring metallic source/drain and sub-370° C process modules,“ in 2011 International Electron Devices Meeting, 2011, pp. 16.7.1-16.7.3. [32] Y.-S. Huang et al., “Record high mobility (428cm2/Vs) of CVD-grown Ge/strained Ge0.91Sn0.09/Ge quantum well p-MOSFETs,“ in 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 33.1.1-33.1.4. [33] M. Liu et al., “Undoped Ge0.92Sn0.08 quantum well pMOSFETs on (001),(011) and (111) substrates with in situ Si2H6 passivation: High hole mobility and dependence of performance on orientation,“ in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, 2014, pp. 1-2. [34] H.-S. Lan, S.-T. Chang, and C. W. Liu, “Semiconductor, topological semimetal, indirect semimetal, and topological dirac semimetal phases of Ge1− xSnx alloys,“ Physical Review B, vol. 95, no. 20, p. 201201, 2017. [35] S.-Y. Wu, “Key technology enablers of innovations in the AI and 5G era,“ in IEEE IEDM Tech. Dig, 2019, pp. 36.3.1-36.3.4. [36] H.-S. Lan and C. W. Liu, “Band alignments at strained Ge1− xSnx/relaxed Ge1− ySny heterointerfaces,” Journal of Physics D: Applied Physics, vol. 50, no. 13, p. 13LT02, 2017. [37] M. L. Lee et al., “Strained Ge channel p-type metal–oxide–semiconductor field-effect transistors grown on Si1− xGex/Si virtual substrates,” Applied Physics Letters, vol. 79, no. 20, pp. 3344-3346, 2001. [38] I.-H. Wong, Y.-T. Chen, J.-Y. Yan, H.-J. Ciou, Y.-S. Chen, and C. W. Liu “Fabrication and low temperature characterization of Ge (110) and (100) p-MOSFETs,” IEEE Transactions on Electron Devices, vol. 61, no. 6, pp. 2215-2219, 2014. [39] E. A. Fitzgerald et al., “Relaxed GexSi1− x structures for III–V integration with Si and high mobility two‐dimensional electron gases in Si,” Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 10, no. 4, pp. 1807-1819, 1992. [40] “Germanium Based Technologies: From Materials to Devices,” Eds. C. Claeys, E. Simoen. Amsterdam: Elsevier, vol. 480, 2007. [41] M. Kim, O. Olubuyide, J. Yoon, and J. Hoyt, “Selective epitaxial growth of Ge-on-Si for photodiode applications,” ECS Transactions, vol. 16, no. 10, p. 837, 2008. [42] I. Å berg et al., “A low dark current and high quantum efficiency monolithic germanium-on-silicon CMOS imager technology for day and night imaging applications,“ in 2010 International Electron Devices Meeting, 2010, pp. 14.4.1-14.4.4. [43] R. Jakomin, G. Beaudoin, N. Gogneau, B. Lamare, and I. Sagnes, “n and p doped Germanium grown by MOVPE for solar cell applications,“ in Proceeding of the XIII European Workshop pn Metalorganic Vapor Phase Epitaxy, Conference, 2009, pp. 7-10. [44] E. Fitzgerald, “Dislocations in strained-layer epitaxy: theory, experiment, and applications,” Materials science reports, vol. 7, no. 3, pp. 87-142, 1991. [45] M. Currie, S. Samavedam, T. Langdo, C. Leitz, and E. Fitzgerald, “Controlling threading dislocation densities in Ge on Si using graded SiGe layers and chemical-mechanical polishing,” Applied physics letters, vol. 72, no. 14, pp. 1718-1720, 1998. [46] S. Samavedam, M. Currie, T. Langdo, and E. Fitzgerald, “High-quality germanium photodiodes integrated on silicon substrates using optimized relaxed graded buffers,” Applied physics letters, vol. 73, no. 15, pp. 2125-2127, 1998. [47] D. M. Isaacson, C. L. Dohrman, and E. A. Fitzgerald, “Deviations from ideal nucleation-limited relaxation in high-Ge content compositionally graded SiGe∕ Si,” Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 24, no. 6, pp. 2741-2747, 2006. [48] S. Nakaharai, T. Tezuka, N. Sugiyama, Y. Moriyama, and S.-i. Takagi “Characterization of 7-nm-thick strained Ge-on-insulator layer fabricated by Ge-condensation technique,” Applied Physics Letters, vol. 83, no. 17, pp. 3516-3518, 2003. [49] H.-C. Luan et al., “High-quality Ge epilayers on Si with low threading-dislocation densities,” Applied physics letters, vol. 75, no. 19, pp. 2909-2911, 1999. [50] J.-S. Park, J. Bai, M. Curtin, B. Adekore, M. Carroll, and A. Lochtefeld, “Defect reduction of selective Ge epitaxy in trenches on Si (001) substrates using aspect ratio trapping,” Applied Physics Letters, vol. 90, no. 5, p. 052113, 2007. [51] J. G. Fiorenza et al., “Aspect ratio trapping: a unique technology for integrating Ge and III-Vs with silicon CMOS,” ECS transactions, vol. 33, no. 6, p. 963, 2010. [52] J. Hartmann, J.-F. Damlencourt, Y. Bogumilowicz, P. Holliger, G. Rolland, and T. Billon, “Reduced pressure-chemical vapor deposition of intrinsic and doped Ge layers on Si (001) for microelectronics and optoelectronics purposes,” Journal of Crystal Growth, vol. 274, no. 1-2, pp. 90-99, 2005. [53] T. Langdo, C. Leitz, M. Currie, E. Fitzgerald, A. Lochtefeld, and D. Antoniadis, “High quality Ge on Si by epitaxial necking,” Applied Physics Letters, vol. 76, no. 25, pp. 3700-3702, 2000. [54] Q. Li, S. M. Han, S. R. Brueck, S. Hersee, Y.-B. Jiang, and H. Xu, “Selective growth of Ge on Si (100) through vias of SiO 2 nanotemplate using solid source molecular beam epitaxy,” Applied Physics Letters, vol. 83, no. 24, pp. 5032-5034, 2003. [55] E. Fitzgerald and N. Chand, “Epitaxial necking in GaAs grown on pre-pattemed Si substrates,” Journal of electronic materials, vol. 20, no. 7, pp. 839-853, 1991. [56] J.-S. Park, J. Bai, M. Curtin, M. Carroll, and A. Lochtefeld, “Facet formation and lateral overgrowth of selective Ge epitaxy on SiO2-patterned Si (001) substrates,” Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 26, no. 1, pp. 117-121, 2008. [57] G. Wang et al., “Selective epitaxial growth of germanium on Si wafers with shallow trench isolation: an approach for Ge virtual substrates,” ECS Transactions, vol. 16, no. 10, p. 829, 2008. [58] G. Eneman et al., “Defects, junction leakage and electrical performance of Ge pFET devices,” ECS Transactions, vol. 19, no. 1, p. 195, 2009. [59] F. Kärtner et al., “Electronic photonic integrated circuits for high speed, high resolution, analog to digital conversion,“ in Silicon Photonics, 2006, vol. 6125, p. 612503: International Society for Optics and Photonics. [60] J. Liu, Dissertation, Massachusetts Institute of Technology. 2007. [61] Z. Liu et al., “48 GHz high-performance Ge-on-SOI photodetector with zero-bias 40 Gbps grown by selective epitaxial growth,” Journal of Lightwave Technology, vol. 35, no. 24, pp. 5306-5310, 2017. [62] H. Ye and J. Yu, “Germanium epitaxy on silicon,” Science and technology of advanced materials, 2014. [63] M. Bauer and S. Thomas, “Novel CVD strategies and novel chemical precursors enabling low temperature epitaxy of Si and Si:C alloys,” ECS Transactions, vol. 25, no. 8, p. 667, 2009. [64] J. Hartmann, M. Veillerot, and B. Prévitali, “A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P,” Semiconductor Science and Technology, vol. 32, no. 10, p. 104003, 2017. [65] B. Kim et al., “Selective epitaxial growth of compressively strained Ge layers on Si in 40-nm trench arrays,” Thin solid films, vol. 557, pp. 55-60, 2014. [66] B. Kim et al., “Characterization of residual strain in epitaxial Ge layers grown in sub-100 nm width SiO2 trench arrays,” Thin Solid Films, vol. 580, pp. 45-51, 2015. [67] M. Bauer et al., “Throughput considerations for in-situ doped embedded Silicon Carbon Stressor selectively grown into Recessed Source Drain areas of NMOS devices,” ECS Transactions, vol. 13, no. 1, p. 287, 2008. [68] D. L. França, “Effects of HCl on the Growth of Epitaxial Ge,” ECS Transactions, vol. 50, no. 9, p. 395, 2013. [69] A. Sammak, W. De Boer, and L. Nanver, “Large-area selective CVD epitaxial growth of Ge on Si substrates,” Proceedings ICT. OPEN2011: Micro Technology and Micro Devices (SAFE 2011), Nov. 14-15, Veldhoven, 2011, 1-4, 2011. [70] A. Sammak, W. De Boer, and L. K. Nanver, “Ge-on-Si: Single-crystal selective epitaxial growth in a CVD reactor,” ECS Transactions, vol. 50, no. 9, p. 507, 2013. [71] D. Leonhardt and S. M. Han, “Energetics of Ge nucleation on SiO2 and implications for selective epitaxial growth,” Surface Science, vol. 603, no. 16, pp. 2624-2629, 2009. [72] G. Wang et al., “Fabrication of high quality Ge virtual substrates by selective epitaxial growth in shallow trench isolated Si (001) trenches,” Thin Solid Films, vol. 518, no. 9, pp. 2538-2541, 2010. [73] G. Li et al., “Improving CMOS-compatible Germanium photodetectors,” Optics express, vol. 20, no. 24, pp. 26345-26350, 2012. [74] S. Koo, H. Jang, S.-W. Kim, and D.-H. Ko, “Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study,” AIP Advances, vol. 6, no. 9, p. 095114, 2016. [75] C. Herring, “Some theorems on the free energies of crystal surfaces,” Physical review, vol. 82, no. 1, p. 87, 1951. [76] A. Ishitani, H. Kitajima, N. Endo, and N. Kasai, “Silicon selective epitaxial growth and electrical properties of epi/sidewall interfaces,” Japanese Journal of Applied Physics, vol. 28, no. 5R, p. 841, 1989. [77] C. Drowley, G. Reid, and R. Hull, “Model for facet and sidewall defect formation during selective epitaxial growth of (001) silicon,” Applied physics letters, vol. 52, no. 7, pp. 546-548, 1988. [78] Q. Xiang, S. Li, D. Wang, K. L. Wang, J. G. Couillard, and H. G. Craighead, “Interfacet mass transport and facet evolution in selective epitaxial growth of Si by gas source molecular beam epitaxy,” Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena, vol. 14, no. 3, pp. 2381-2386, 1996. [79] R. Loo et al., “Successful Selective Epitaxial Si1− xGex Deposition Process for HBT-BiCMOS and High Mobility Heterojunction pMOS Applications,” Journal of the Electrochemical Society, vol. 150, no. 10, p. G638, 2003. [80] M. Bauer et al., “Ge–Sn semiconductors for band-gap and lattice engineering,” Applied physics letters, vol. 81, no. 16, pp. 2992-2994, 2002. [81] B. Vincent et al., “Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition,” Applied Physics Letters, vol. 99, no. 15, p. 152103, 2011. [82] A. Mosleh et al., “Material characterization of Ge1− xSnx alloys grown by a commercial CVD system for optoelectronic device applications,” Journal of electronic materials, vol. 43, no. 4, pp. 938-946, 2014. [83] G. Grzybowski, R. Beeler, L. Jiang, D. Smith, J. Kouvetakis, and J. Menendez, “Next generation of Ge1− ySny (y=0.01-0.09) alloys grown on Si (100) via Ge3H8 and SnD4: Reaction kinetics and tunable emission,” Applied physics letters, vol. 101, no. 7, p. 072105, 2012. [84] S. Wirths et al., “SiGeSn growth studies using reduced pressure chemical vapor deposition towards optoelectronic applications,” Thin Solid Films, vol. 557, pp. 183-187, 2014. [85] F. Gencarelli et al., “Crystalline properties and strain relaxation mechanism of CVD grown GeSn,” ECS Journal of Solid State Science and Technology, vol. 2, no. 4, p. P134, 2013. [86] S. Wirths et al., “Epitaxial growth of Ge1-xSnx by reduced pressure CVD using SnCl4 and Ge2H6,” ECS Transactions, vol. 50, no. 9, p. 885, 2013. [87] S. Wirths et al., “Reduced pressure CVD growth of Ge and Ge1− xSnx alloys,” ECS Journal of Solid State Science and Technology, vol. 2, no. 5, p. N99, 2013. [88] S. Gates, C. Greenlief, D. Beach, and P. Holbert, “Decomposition of silane on Si (111)‐(7×7) and Si (100)‐(2×1) surfaces below 500°C,” The Journal of chemical physics, vol. 92, no. 5, pp. 3144-3153, 1990. [89] S. Gates and S. Kulkarni, “Kinetics of surface reactions in very low‐pressure chemical vapor deposition of Si from SiH4,” Applied physics letters, vol. 58, no. 25, pp. 2963-2965, 1991. [90] Y.-W. Mo, D. Savage, B. Swartzentruber, and M. G. Lagally, “Kinetic pathway in Stranski-Krastanov growth of Ge on Si (001),” Physical review letters, vol. 65, no. 8, p. 1020, 1990. [91] M. Liehr, C. Greenlief, M. Offenberg, and S. Kasi, “Equilibrium surface hydrogen coverage during silicon epitaxy using SiH4,” Journal of Vacuum Science Technology A: Vacuum, Surfaces, and Films, vol. 8, no. 3, pp. 2960-2964, 1990. [92] C. Roland and G. H. Gilmer, “Growth of germanium films on Si (001) substrates,” Physical Review B, vol. 47, no. 24, p. 16286, 1993. [93] K. Takahashi, A. Kunz, D. Woiki, and P. Roth, “Thermal decomposition of tin tetrachloride based on Cl-and Sn-concentration measurements,” The Journal of Physical Chemistry A, vol. 104, no. 22, pp. 5246-5253, 2000. [94] Y. Bogumilowicz, J. Hartmann, R. Truche, Y. Campidelli, G. Rolland, and T. Billon, “Chemical vapour etching of Si, SiGe and Ge with HCl; applications to the formation of thin relaxed SiGe buffers and to the revelation of threading dislocations,” Semiconductor science and technology, vol. 20, no. 2, p. 127, 2004. [95] W. Dou, High-Sn-content GeSn Alloy towards Room-temperature Mid Infrared Laser. University of Arkansas, 2018. [96] H. Tang, L. Vescan, and H. Lüth, “Equilibrium thermodynamic analysis of the Si-Ge-Cl-H system for atmospheric and low pressure CVD of Si1-xGex,” Journal of crystal growth, vol. 116, no. 1-2, pp. 1-14, 1992. [97] A. R. Adams, E. P. O’Reilly, and M. Silver, “Strained layer quantum well lasers,” Semiconductor Lasers I, pp. 123-176, 1999. [98] Cheewee Liu, 'dissertation,' 1994. [99] D. Houghton and D. Perovic, “J.-. M. Baribeau, and G. Weatherly,” Journal of Applied Physics, vol. 67, p. 1990, 1850. [100] R. People and J. Bean, “Calculation of critical layer thickness versus lattice mismatch for GexSi1− x/Si strained‐layer heterostructures,” Applied Physics Letters, vol. 47, no. 3, pp. 322-324, 1985. [101] R. People and J. Bean, “Erratum: Calculation of critical layer thickness versus lattice mismatch for GexSi1− x/Si strained‐layer heterostructures [Appl. Phys. Lett. 4 7, 322 (1985)],” Applied Physics Letters, vol. 49, no. 4, pp. 229-229, 1986. [102] G. Dingemans, N. Terlinden, M. Verheijen, M. Van de Sanden, and W. Kessels, “Controlling the fixed charge and passivation properties of Si(100)/Al2O3 interfaces using ultrathin SiO2 interlayers synthesized by atomic layer deposition,” Journal of Applied Physics, vol. 110, no. 9, p. 093715, 2011. [103] P. Mooney, “Strain relaxation and dislocations in SiGe/Si structures,” Materials Science and Engineering: R: Reports, vol. 17, no. 3, pp. 105-146, 1996. [104] V. Vdovin, “Misfit dislocations in epitaxial heterostructures: Mechanisms of generation and multiplication,” physica status solidi (a), vol. 171, no. 1, pp. 239-250, 1999. [105] W. Dou et al., “Investigation of GeSn strain relaxation and spontaneous composition gradient for low-defect and high-Sn alloy growth,” Scientific reports, vol. 8, no. 1, pp. 1-11, 2018. [106] J. Margetis, S.-Q. Yu, N. Bhargava, B. Li, W. Du, and J. Tolle, “Strain engineering in epitaxial Ge1− xSnx: a path towards low-defect and high Sn-content layers,” Semiconductor Science and Technology, vol. 32, no. 12, p. 124006, 2017. [107] R. Cahn, J. Evetts, J. Patterson, R. Somekh, and C. K. Jackson, “Direct measurement by secondary-ion mass spectrometry of self-diffusion of boron in Fe40Ni40B20 glass,” Journal of Materials Science, vol. 15, no. 3, pp. 702-710, 1980. [108] J. Davenport, C. Nichols, R. Cook, and D. Clarke, “Novel Covalently Functionalized Amorphous Nonlinear Optical Polymer: Synthesis and Characterization, A. Sen, M. Eich (Pennsylvania State University, University Park, PA 16802), RJ Twieg, and DY Yoon (IBM, San Jose, CA), ACS Symposium Series 455, 250-257 (1991),” ACM Sigplan Notices, vol. 26, no. 6, pp. 241-255, 1991. [109] S. Schwarz et al., “Studies of surface and interface segregation in polymer blends by secondary ion mass spectrometry,” Molecular physics, vol. 76, no. 4, pp. 937-950, 1992. [110] S. C. Jain, T. Gosling, J. Willis, D. Totterdell, and R. Bullough, “A new study of critical layer thickness, stability and strain relaxation in pseudomorphic GexSi1 x strained epilayers,” Philosophical Magazine A, vol. 65, no. 5, pp. 1151-1167, 1992. [111] M. -H. Liao, T.-H. Cheng, and C. W. Liu, “Infrared emission from Ge metal-insulator-semiconductor tunneling diodes,” Applied physics letters, vol. 89, no. 26, p. 261913, 2006. [112] M. El Kurdi et al., “Enhanced photoluminescence of heavily n-doped germanium,” Applied Physics Letters, vol. 94, no. 19, p. 191107, 2009. [113] H.-S. Lan, S. Chang, and C. W. Liu, “Semiconductor, topological semimetal, indirect semimetal, and topological dirac semimetal phases of Ge1− xSnx alloys, Physical Review B, vol. 95, no. 20, p. 201201, 2017. [114] H. -S. Lan and C. W. Liu, “Band alignments at strained Ge1− xSnx/relaxed Ge1− ySny heterointerfaces,” Journal of Physics D: Applied Physics, vol. 50, no. 13, p. 13LT02, 2017. [115] W. Wang et al., “Tin surface segregation, desorption, and island formation during post-growth annealing of strained epitaxial Ge1− xSnx layer on Ge (001) substrate,” Applied surface science, vol. 321, pp. 240-244, 2014. [116] S. Iwanari, Y. Kimura, and K. Takayanagi, “Surfactant epitaxy of Si on Si (111) surface mediated by a Sn layer II. Critical step flow of the growth with and without mediate,” Journal of crystal growth, vol. 119, no. 3-4, pp. 241-247, 1992. [117] L. Vitos, A. Ruban, H. L. Skriver, and J. Kollár, “The surface energy of metals,” Surface science, vol. 411, no. 1-2, pp. 186-202, 1998. [118] R. Jaccodine, “Surface energy of germanium and silicon,” Journal of the electrochemical society, vol. 110, no. 6, p. 524, 1963. [119] P. Pukite, A. Harwit, and S. Iyer, “Molecular beam epitaxy of metastable, diamond structure SnxGe1− x alloys,” Applied physics letters, vol. 54, no. 21, pp. 2142-2144, 1989. [120] N. Taoka et al., “Sn migration control at high temperature due to high deposition speed for forming high-quality GeSn layer,” Applied Physics Express, vol. 9, no. 3, p. 031201, 2016. [121] F. Gencarelli et al., “Amorphous inclusions during Ge and GeSn epitaxial growth via chemical vapor deposition,” Thin Solid Films, vol. 590, pp. 163-169, 2015. [122] H. Li, Y. Cui, K. Wu, W. Tseng, H. Cheng, and H. Chen, “Strain relaxation and Sn segregation in GeSn epilayers under thermal treatment,” Applied Physics Letters, vol. 102, no. 25, p. 251907, 2013. [123] T. Tsukamoto, N. Hirose, A. Kasamatsu, T. Mimura, T. Matsui, and Y. Suda, “Investigation of Sn surface segregation during GeSn epitaxial growth by Auger electron spectroscopy and energy dispersive x-ray spectroscopy,” Applied Physics Letters, vol. 106, no. 5, p. 052103, 2015. [124] R. Chen et al., “Material characterization of high Sn-content, compressively-strained GeSn epitaxial films after rapid thermal processing,” Journal of crystal growth, vol. 365, pp. 29-34, 2013. [125] R. Takase et al., “Behavior of Sn atoms in GeSn thin films during thermal annealing: Ex-situ and in-situ observations,” Journal of Applied Physics, vol. 120, no. 24, p. 245304, 2016. [126] Y. Nakamura, A. Masada, and M. Ichikawa, “Quantum-confinement effect in individual Ge1− xSnx quantum dots on Si (111) substrates covered with ultrathin SiO2 films using scanning tunneling spectroscopy,” Applied physics letters, vol. 91, no. 1, p. 013109, 2007. [127] W. Wang, L. Li, E. S. Tok, and Y.-C. Yeo, “Self-assembly of tin wires via phase transformation of heteroepitaxial germanium-tin on germanium substrate,” Journal of Applied Physics, vol. 117, no. 22, p. 225304, 2015. [128] J. Zhang, X. Deng, D. Swenson, S. Hackney, and M. Krishnamurthy, “Formation of nanoscale trenches and wires as a pathway to phase-separation in strained epitaxial Ge-Sn alloys,” Thin Solid Films, vol. 357, no. 1, pp. 85-89, 1999. [129] D. Zhang et al., “High-responsivity GeSn short-wave infrared pin photodetectors,” Applied physics letters, vol. 102, no. 14, p. 141111, 2013. [130] J. Werner et al., “Germanium-tin pin photodetectors integrated on silicon grown by molecular beam epitaxy,” Applied Physics Letters, vol. 98, no. 6, p. 061108, 2011. [131] Y. Dong et al., “Germanium-tin on Si avalanche photodiode: device design and technology demonstration,” IEEE Transactions on Electron Devices, vol. 62, no. 1, pp. 128-135, 2014. [132] M. Oehme et al., “GeSn-on-Si normal incidence photodetectors with bandwidths more than 40 GHz,” Optics express, vol. 22, no. 1, pp. 839-846, 2014. [133] P. Guo et al., “Ge0. 97Sn0. 03 p-channel metal-oxide-semiconductor field-effect transistors: Impact of Si surface passivation layer thickness and post metal annealing,” Journal of Applied Physics, vol. 114, no. 4, p. 044510, 2013. [134] Y. Yang et al., “Germanium–tin p-channel tunneling field-effect transistor: Device design and technology demonstration,” IEEE transactions on electron devices, vol. 60, no. 12, pp. 4048-4056, 2013. [135] X. Gong et al., “Germanium–Tin (GeSn) p-Channel MOSFETs Fabricated on (100) and (111) Surface Orientations With Sub-400°C Si2H6 Passivation,” IEEE Electron Device Letters, vol. 34, no. 3, pp. 339-341, 2013. [136] Y. Liu et al., “Mobility enhancement in undoped Ge0. 92Sn0. 08 quantum well p-channel metal-oxide-semiconductor field-effect transistor fabricated on (111) oriented substrate,” Semiconductor Science and Technology, vol. 29, no. 11, p. 115027, 2014. [137] Y. Liu et al., “Strained GeSn p-channel metal–oxide–semiconductor field-effect transistors with in situ Si2H6 surface passivation: Impact of Sn composition,” IEEE Transactions on Electron Devices, vol. 61, no. 11, pp. 3639-3645, 2014. [138] Z. Liu et al., “High hole mobility GeSn on insulator formed by self-organized seeding lateral growth,” Journal of Physics D: Applied Physics, vol. 48, no. 44, p. 445103, 2015. [139] C. Schulte-Braucks et al., “Low temperature deposition of high-k/metal gate stacks on high-Sn content (Si) GeSn-alloys,” ACS applied materials interfaces, vol. 8, no. 20, pp. 13133-13139, 2016. [140] G. Xia, J. L. Hoyt, and M. Canonico, “Si–Ge interdiffusion in strained Si/strained SiGe heterostructures and implications for enhanced mobility metal-oxide semiconductor field-effect transistors,” Journal of applied physics, vol. 101, no. 4, p. 044901, 2007. [141] N. Zangenberg, J. L. Hansen, J. Fage-Pedersen, and A. N. Larsen, “Ge self diffusion in epitaxial Si1− xGex layers,” Physical Review Letters, vol. 87, no. 12, p. 125901, 2001. [142] M. Meduna et al., “In situ investigations of Si and Ge interdiffusion in Ge rich Si/SiGe multilayers using x-ray scattering,” Semiconductor science and technology, vol. 22, no. 4, p. 447, 2007. [143] N. Ozguven and P. C. McIntyre, “Silicon-germanium interdiffusion in high germanium-content epitaxial heterostructures,” Applied Physics Letters, vol. 92, no. 18, p. 181907, 2008. [144] X.-C. Liu and D. Leadley, “Silicon–germanium interdiffusion in strained Ge/SiGe multiple quantum well structures,” Journal of Physics D: Applied Physics, vol. 43, no. 50, p. 505303, 2010. [145] H. Trinkaus, D. Buca, R. Minamisawa, B. Holländer, M. Luysberg, and S. Mantl, “Anisotropy of strain relaxation in (100) and (110) Si/SiGe heterostructures,” Journal of applied physics, vol. 111, no. 1, p. 014904, 2012. [146] S. Iyer and F. LeGoues, “Thermal relaxation of pseudomorphic Si‐Ge superlattices by enhanced diffusion and dislocation multiplication,” Journal of applied physics, vol. 65, no. 12, pp. 4693-4698, 1989. [147] I. Riihimäki, A. Virtanen, S. Rinta-Anttila, P. Pusa, J. Räisänen, and T. Isolde Collaboration, “Vacancy-impurity complexes and diffusion of Ga and Sn in intrinsic and p-doped germanium,” Applied Physics Letters, vol. 91, no. 9, p. 091922, 2007. [148] G. Xia, O. O. Olubuyide, J. L. Hoyt, and M. Canonico, “Strain dependence of Si–Ge interdiffusion in epitaxial Si∕ Si1− yGey∕ Si heterostructures on relaxed Si1− xGex substrates,” Applied physics letters, vol. 88, no. 1, p. 013507, 2006. [149] F. Cai, Y. Dong, Y. H. Tan, C. S. Tan, and G. M. Xia, “Enhanced Si–Ge interdiffusion in high phosphorus-doped germanium on silicon,” Semiconductor Science and Technology, vol. 30, no. 10, p. 105008, 2015. [150] F. Cai, D. H. Anjum, X. Zhang, and G. Xia, “Study of Si-Ge interdiffusion with phosphorus doping,” Journal of Applied Physics, vol. 120, no. 16, p. 165108, 2016. [151] M. Gavelle et al., “Detailed investigation of Ge–Si interdiffusion in the full range of Si1− xGex (0≤ x≤ 1) composition,” Journal of Applied Physics, vol. 104, no. 11, p. 113524, 2008. [152] Y.-S. Huang et al., “Vertically stacked strained 3-GeSn-nanosheet pGAAFETs on Si using GeSn/Ge CVD epitaxial growth and the optimum selective channel release process,” IEEE Electron Device Letters, vol. 39, no. 9, pp. 1274-1277, 2018. [153] H.-S. Lan and C. W. Liu, “Ballistic electron transport calculation of strained germanium-tin fin field-effect transistors,” Applied Physics Letters, vol. 104, no. 19, p. 192101, 2014. [154] T.-H. Liu et al., “High-mobility GeSn n-Channel MOSFETs by low-temperature chemical vapor deposition and microwave annealing,” IEEE Electron Device Letters, vol. 39, no. 4, pp. 468-471, 2018. [155] S. Barraud et al., “Performance and design considerations for gate-all-around stacked-NanoWires FETs,“ in 2017 IEEE international electron devices meeting (IEDM), 2017, pp. 29.2.1-29.2.4. [156] Y.-C. Fu et al., “High mobility high on/off ratio CV dispersion-free Ge n-MOSFETs and their strain response,“ in 2010 International Electron Devices Meeting, 2010, pp. 18.5.1-18.5.4. [157] Y.-J. Yang, W. Ho, C.-F. Huang, S. Chang, and C. Liu, “Electron mobility enhancement in strained-germanium n-channel metal-oxide-semiconductor field-effect transistors,” Applied Physics Letters, vol. 91, no. 10, p. 102103, 2007. [158] I.-H. Wong et al., “High performance Ge junctionless gate-all-around NFETs with simultaneous Ion= 1235 μA/μm at Vov= Vds= 1V, SS= 95 mV/dec, high Ion/Ioff= 2×106, and reduced noise power density using S/D dopant recovery by selective laser annealing,“ in 2016 IEEE International Electron Devices Meeting (IEDM), 2016, pp. 33.6.1-33.6.4. [159] I.-H. Wong et al., “In-situ doped and tensily stained ge junctionless gate-all-around nFETs on SOI featuring Ion= 828 μA/μm, Ion/Ioff ∼ 1×105, DIBL= 16–54 mV/V, and 1.4X external strain enhancement,“ in 2014 IEEE International Electron Devices Meeting, 2014, pp. 9.6.1-9.6.4. [160] E. Capogreco et al., “First demonstration of vertically stacked gate-all-around highly strained germanium nanowire pFETs,” IEEE Transactions o………
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/81725-
dc.description.abstract隨著技術節點的發展,擁有相較於矽材料更高載子遷移率之鍺矽、鍺、鍺錫等四族半導體材料被視為極可能取代業界現行矽材料之新興材料,其具有下列優點,包含:相較於矽擁有更高載子遷移率使元件電性表現相較傳統矽材料更好、可實現直接能隙、可與傳統矽製程技術相容等,使其能應用於高速運算電路及光學元件等相關領域中。在本論文中,將使用化學氣相沉積進行四族材料磊晶成長、材料分析、熱穩定性及錫析出特性之研究與探討。此外,本論文亦探討化學氣相沉積成長之鍺錫接面二極體之製備與特性分析,以及透過紅外光響應方式檢測垂直堆疊鍺矽n 型閘極環繞式電晶體之寄生通道特性。 在本論文的第一個部分,鍺材料之選擇性成長被首先探討。鍺具有最高的電洞遷移率,且其於近紅外光波段之吸收常數相當高,因此,無論是互補式氧化金屬半導體技術或紅外光電材料元件,例如CMOS 影像感測器,鍺材料均是最佳首選。但由於鍺和矽之間具有4.2%的晶格不匹配,鍺材料直接成長於矽材料上會導致相當大的差排缺陷密度。而降低差排缺陷密度的方法有成長矽鍺漸變濃度緩衝層、循環熱退火、及選擇性成長等。其中選擇性成長的應用,除了可以用以降低差排缺陷密度之外,亦可用來同時整合高電洞遷移率之鍺通道材料及高電子遷移率之矽材料於同一基板上。透過化學氣象沉積方法來達到高選擇比鍺材料選擇性成長將在此論文被研究,而結晶品質不佳與貫穿差排的問題,則可透過高溫磊晶後熱退火來加以改善。 本論文的第二個部分,主要針對鍺錫四族半導體材料之磊晶材料成長、應力隨鍺錫磊晶成長厚度之分析、及熱穩定性之研究。鍺錫材料其電洞遷移率高於鍺材料,使之有很大的潛力做為電晶體p 型通道之候選人。且於鍺材料中摻雜約8%的錫可以使其有機會變成直接能隙材料,因此鍺錫材料目前已被廣泛用來當作發光元件及光偵測器之材料首選。在本論文中,我們利用二鍺烷及四氯化錫做為化學氣象沉積氣體先驅物於鍺緩衝層上進行磊晶,並搭配低溫成長來成長出鍺錫磊晶層。磊晶厚度低於磊晶臨界厚度具有壓縮應變之薄鍺錫磊晶層,以及磊晶厚度高於磊晶臨界厚度具有鬆弛應變之厚鍺錫磊晶層,均於此論文中製備及探討。此外,具有不同摻雜載子型態之鍺錫磊晶層之材料分析及熱穩定性亦在此部分被研究。在相同的錫濃度之鍺錫材料中,具有不同型態之摻雜載子,其熱穩定性會有所不同,其原因有可能是因為雜質互相擴散常數的差異或載子半徑大小所導致。當鍺錫材料環境不處於熱穩定條件下,會導致錫擴散或析出現象,會大幅的影響鍺錫材料的濃度分布及形貌,若是應用於電晶體元件中,將會導致元件短路問題。因此,透過熱穩定性研究,可以將結果應用在後續鍺錫材料元件製備過程中,避免錫析出或擴散之溫度參考。 本論文的第三個部分為鍺錫接面二極體之製備與特性分析。透過離子佈植的方法並搭配不同的後續熱製程來活化,例如快速熱退火或微波熱退火方法。高錫濃度([Sn]=15%)及高鬆弛應變(Relaxation=80%)之鍺錫磊晶層被選用做為研究,未來可應用於鍺錫n 型通道金氧半場效電晶體之源極和汲極。 本論文之最後部分將探討垂直堆疊鍺矽n 型閘極環繞式電晶體之光響應特性。於垂直堆疊閘極環繞式電晶體之製程中,透過不同的蝕刻時間及蝕刻Fin的寬度優化,可以成功的蝕刻出三種不同元件,用以做為光響應特性分析比較。分別為(1)鍺矽垂直堆疊通道與鍺寄生通道並存之元件、(2)鍺矽垂直堆疊通道之元件、(3)鍺寄生通道之元件。透過紅外光照射於通道上,所量測到的光響應現象會有所不同。具有鍺矽垂直堆疊通道的元件會量測到閾值電壓的位移,而具有鍺寄生通道之元件會觀察到光電流的產生。在鍺矽垂直堆疊通道與鍺寄生通道並存的元件可同時觀察到閾值電壓位移及光電流產生。詳細的原因將透過能帶圖於本論文中闡述。寄生通道由於具有相當大的缺陷密度,因此光激發載子可透過缺陷而流動,產生光電流。寄生通道的存在會導致電晶體的電性表現降低,可透過紅外光檢測的方法,可以以非破壞性且快速的方法來檢視垂直堆疊閘極環繞式電晶體蝕刻過程中,寄生通道是否存在。zh_TW
dc.description.provenanceMade available in DSpace on 2022-11-24T09:26:20Z (GMT). No. of bitstreams: 1
U0001-1012202122574200.pdf: 8040136 bytes, checksum: 916a266613fd91411e44ae598273804e (MD5)
Previous issue date: 2021
en
dc.description.tableofcontentsTable of Content Related Publication (相關論文發表) ..... I 誌謝 ..... IV 摘要 ..... V Abstract ..... VIII Table of Content ..... XII List of Figures ..... XIV List of Tables ..... XIX Chapter 1 Introduction ..... 1 1.1 Motivation ..... 1 1.1.1 The Invention of Transistor and More Moore Scaling ..... 1 1.1.2 High Mobility Ge-based Channel Materials ..... 4 1.2 Dissertation Organization ..... 6 Chapter 2 Selective epitaxial growth of Ge ..... 16 2.1 Introduction ..... 16 2.1.1 Benefits of Ge-based Optical Materials ..... 16 2.1.2 Methods for defect reduction ..... 17 2.1.3 Application of selective epitaxial growth ..... 18 2.2 Growth and characterization ..... 19 2.3 Summary ..... 23 Chapter 3 Epitaxial Growth of Strained and Relaxed GeSn Epilayers Using Ge2H6 and SnCl4 ..... 31 3.1 Introduction ..... 31 3.2 Growth and characterization ..... 33 3.2.1 Mechanism of CVD grown-GeSn ..... 33 3.2.2 Growth rate and Sn content Enhancement by SnCl4 precursor ..... 35 3.2.3 The thermal equilibrium critical thickness and metastable critical thickness vs. Sn fraction ..... 36 3.2.4 Epitaxy of Strained GeSn and Relaxed GeSn ..... 38 3.2.5 Sn segregation ..... 49 3.3 Summary ..... 52 Chapter 4 Material and Thermal Stability Characteristics of CVD-grown GeSn Layers ..... 83 4.1 Introduction ..... 83 4.2 Growth and characterization ..... 84 4.2.1 Thermal stability of CVD-Grown GeSn with strain dependence ..... 84 4.2.2 Thermal stability of CVD-Grown GeSn with doping dependence ..... 86 4.3 Summary ..... 89 Chapter 5 GeSn n+/p Diodes by Ion Implantation and Microwave Annealing ..... 96 5.1 Introduction ..... 96 5.2 CVD Epitaxy and Material Characterization ..... 96 5.3 Fabrication process for GeSn n+/p Diodes ..... 97 5.4 Electrical Charactrizations for GeSn n+/p Diodes ..... 98 5.5 Summary ..... 99 Chapter 6 Optical Detection by Infrared Response from Parasitic Channels and Vertically Stacked GeSi nGAAFETs ..... 106 6.1 Introduction ..... 106 6.2 CVD Epitaxy and Material Characterization ..... 107 6.3 Fabrication of Vertically Stacked GeSi Gate-all-around nFETs ..... 108 6.4 Charactrization of GeSi nGAAFETs with Infrared Respense ..... 111 6.5 Summary ..... 115 Chapter 7 Summary and Future Work ..... 131 7.1 Summary ..... 131 7.2 Future Work ..... 134 Reference ..... 136
dc.language.isoen
dc.subject選擇性磊晶成長zh_TW
dc.subject化學氣相沉積zh_TW
dc.subject鍺錫zh_TW
dc.subject鍺矽zh_TW
dc.subject鍺zh_TW
dc.subject垂直堆疊通道zh_TW
dc.subject寄生通道zh_TW
dc.subject源汲極製備zh_TW
dc.subject紅外光響應zh_TW
dc.subject鍺錫接面二極體zh_TW
dc.subject微波退火zh_TW
dc.subject臨界厚度zh_TW
dc.subject熱穩定zh_TW
dc.subject閘極環繞式電晶體zh_TW
dc.subject錫析出zh_TW
dc.subject交互擴散zh_TW
dc.subjectcritical thicknessen
dc.subjectGeen
dc.subjectGeSien
dc.subjectGeSnen
dc.subjectRTCVDen
dc.subjectselective epitaxial growthen
dc.subjectinterdiffusionen
dc.subjectSn segregationen
dc.subjectthermal stabilityen
dc.subjectmicrowave annealingen
dc.subjectGeSn diodeen
dc.subjectEpi structure designen
dc.subjectGAAFETsen
dc.subjectStacked channelsen
dc.subjectNanosheeten
dc.subjectparasitic channelen
dc.subjectphotoresponseen
dc.subjectinfrared responseen
dc.title鍺/鍺錫化學氣相磊晶異質成長與n型場效電晶體接面製備與特性分析zh_TW
dc.titleHeteroepitaxy Ge/GeSn by Chemical Vapor Deposition with Fabrication and Characterization of Junction for nMOSFETsen
dc.date.schoolyear110-1
dc.description.degree博士
dc.contributor.author-orcid0000-0003-1801-768X
dc.contributor.oralexamcommittee李敏鴻(Hsin-Tsai Liu),林中一(Chih-Yang Tseng),林吉聰,林楚軒,張書通,彭成毅
dc.subject.keyword鍺,鍺矽,鍺錫,化學氣相沉積,選擇性磊晶成長,交互擴散,錫析出,熱穩定,臨界厚度,微波退火,鍺錫接面二極體,源汲極製備,閘極環繞式電晶體,垂直堆疊通道,寄生通道,紅外光響應,zh_TW
dc.subject.keywordGe,GeSi,GeSn,RTCVD,selective epitaxial growth,interdiffusion,Sn segregation,thermal stability,critical thickness,microwave annealing,GeSn diode,Epi structure design,GAAFETs,Stacked channels,Nanosheet,parasitic channel,photoresponse,infrared response,en
dc.relation.page149
dc.identifier.doi10.6342/NTU202104529
dc.rights.note未授權
dc.date.accepted2021-12-13
dc.contributor.author-college電機資訊學院zh_TW
dc.contributor.author-dept電子工程學研究所zh_TW
顯示於系所單位:電子工程學研究所

文件中的檔案:
檔案 大小格式 
U0001-1012202122574200.pdf
  未授權公開取用
7.85 MBAdobe PDF
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved