請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/58096
完整後設資料紀錄
DC 欄位 | 值 | 語言 |
---|---|---|
dc.contributor.advisor | 李建模 | |
dc.contributor.author | Po-Juei Chen | en |
dc.contributor.author | 陳柏瑞 | zh_TW |
dc.date.accessioned | 2021-06-16T08:05:53Z | - |
dc.date.available | 2014-07-08 | |
dc.date.copyright | 2014-07-08 | |
dc.date.issued | 2014 | |
dc.date.submitted | 2014-06-24 | |
dc.identifier.citation | [Abramovici 1988] M. Abramovici, P. R. Menon, and D. T. Miller, 'Critical path tracing-an alternative to fault simulation,' Papers on Twenty-five years of electronic design automation, pp. 468-474, 1988.
[Acken 1992] J. M. Acken, and S. Millman, 'Fault model evolution for diagnosis: Accuracy vs precision,' Custom Integrated Circuits Conference, pp. 13.4. 1-13.4. 4, 1992. [Ahmed 2006a] N. Ahmed, M. Tehranipoor, and V. Jayaram, 'Timing-based delay test for screening small delay defects,' Design Automation Conference, pp. 320-325, 2006a. [Ahmed 2006b] N. Ahmed, M. Tehranipoor, and V. Jayaram, 'A novel framework for faster-than-at-speed delay test considering IR-drop effects,' International Conference on Computer-Aided Design, pp. 198-203, 2006b. [Aikyo 2007] T. Aikyo, H. Takahashi, Y. Higami, J. Ootsu, K. Ono, et al., 'Timing-aware diagnosis for small delay defects,' International Symposium on Defect and Fault-Tolerance in VLSI Systems, pp. 223-234, 2007. [Aitken 1995] R. C. Aitken, and P. C. Maxwell, “Better models or better algorithms? Techniques to improve fault diagnosis,” HEWLETT PACKARD JOURNAL, vol. 46, pp. 110-110, 1995. [Appello 2004] D. Appello, A. Fudoli, K. Giarda, V. Tancorre, E. Gizdarski, et al., “Understanding yield losses in logic circuits,” IEEE Design & Test of Computers, vol. 21, no. 3, pp. 208-215, 2004. [Balachandran 1999] H. Balachandran, J. Parker, D. Shupp, S. Butler, K. M. Butler, et al., 'Correlation of logical failures to a suspect process step,' International Test Conference, pp. 458-466, 1999. [Bartenstein 2001] T. Bartenstein, D. Sliwinski, D. Heaberlin, and L. Huisman, 'Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm,' International Test Conference, pp. 287-287, 2001. [Bhattacharyya 1977] G. K. Bhattacharyya, and R. A. Johnson, in Statistical Concepts and Methods, 1st ed., New York : Wiley, 1977, pp. 453-468. [Buehler-Garcia 2009] M. Buehler-Garcia. (2009). DFM: Coming into Its Own [Online]. Available: https://www.gsaglobal.org/forum/2009/3/articles_garcia.asp. [Cadence 2012] Cadence. (2012). OpenAcess Library [Online]. Available: http://www.si2.org/openeda.si2.org/projects/oarefimp. [Carulli 2006] J. Carulli, and T. J. Anderson, “The impact of multiple failure modes on estimating product field reliability,” IEEE Design & Test of Computers, vol. 23, no. 2, pp. 118-126, 2006. [Chao 2014] S.-M. Chao, P.-J. Chen, J.-Y. Chen, P.-H. Chen, J. C.-M. Li, et al., 'Divide and Conquer Diagnosis for Multiple Defects,' Iternational Test Conference, pp. 2014. [Che 2013] C.-C. Che, “Multiple Defect Physical-aware Diagnosis,” M.S. thesis, Graduate Institue of Electronics Engineering, National Taiwan University, Taipei, 2013. [Chen 2009] P.-J. Chen, J. C.-M. Li, and H. Chao, 'Bridging Fault Diagnosis to Identify the Layer of Systematic Defects,' Asian Test Symposium, pp. 349-354, 2009. [Chen 2011] P.-J. Chen, W.-L. Hsu, J. C.-M. Li, N.-H. Tseng, K.-Y. Chen, et al., 'An accurate timing-aware diagnosis algorithm for multiple small delay defects,' Asian Test Symposium, pp. 291-296, 2011. [Chen 2012] P.-J. Chen, C.-C. Che, J. C.-M. Li, K.-Y. Tsai, S.-F. Kuo, et al., 'Systematic Open Via Diagnosis Based on Physical Features,' International Workshop on Silicon Debug and Diagnosis (Workshop in ITC), pp. 2012. [Chen 2013] P.-J. Chen, C.-C. Che, J. C.-M. Li, S.-F. Kuo, P.-Y. Hsueh, et al., 'Statistical Diagnosis for Systematic Defects Based on Physical Features,' International Workshop on Design for Manufacturability and Yield (Workshop in DAC), pp. 2013. [Chen 2014] P.-J. Chen, C.-C. Che, J. C.-M. Li, S.-F. Kuo, P.-Y. Hsueh, et al., “Physical-aware Systematic Multiple Defect Diagnosis,” IET Computers & Digital Techniques, 2014. [Chiang 2007] C. Chiang, and J. Kawa, Design for manufacturability and yield for nano-scale CMOS, pp. 24-25, 41-42: Springer, 2007. [Desineni 2005] R. Desineni, and R. D. Blanton, 'Diagnosis of arbitrary defects using neighborhood function extraction,' VLSI Test Symposium, pp. 366-373, 2005. [Desineni 2006] R. Desineni, O. Poku, and R. D. Blanton, 'A Logic Diagnosis Methodology for Improved Localization and Extraction of Accurate Defect Behavior,' International Test Conference, pp. 1-10, 2006. [Desineni 2010] R. Desineni, L. Pastel, M. Kassab, and R. Redburn, 'Hard to find, easy to find systematics; just find them,' International Test Conference, pp. 1-10, 2010. [Gbondo-Tugbawa 2002] T. E. Gbondo-Tugbawa, “Chip-scale modeling of pattern dependencies in copper chemical mechanical polishing processes,” Ph.D. Dissertation, Massachusetts Institute of Technology, Cambridge, 2002. [Girard 1992] P. Girard, C. Landrault, and S. Pravossoudovitch, “Delay-fault diagnosis by critical-path tracing,” IEEE Design & Test, vol. 9, no. 4, pp. 27-32, 1992. [Goel 2009] S. K. Goel, N. Devta-Prasanna, and R. P. Turakhia, 'Effective and efficient test pattern generation for small delay defect,' VLSI Test Symposium, pp. 111-116, 2009. [Gudavalli 2009] R. Gudavalli, W. R. Daasch, P. Nigh, and D. Heaberlin, 'Application of non-parametric statistics of the parametric response for defect diagnosis,' International Test Conference, pp. 1-10, 2009. [Guo 2010] R. Guo, W.-T. Cheng, T. Kobayashi, and K.-H. Tsai, 'Diagnostic test generation for small delay defect diagnosis,' International Symposium on VLSI Design Automation and Test, pp. 224-227, 2010. [Gupta 2004] P. Gupta, and M. S. Hsiao, 'ALAPTF: A new transition fault model and the ATPG algorithm,' International Test Conference pp. 1053-1060, 2004. [Hapke 2013] F. Hapke, M. Keim, T. Herrmann, T. Heidel, M. Reese, et al., 'Improving Failure Analysis for Cell-Internal Defects through Cell Aware Technology,' International Symposium for Testing and Failure Analysis, pp. 594 - 601, 2013. [Harris 2008] K. Harris. (2008). The Next Generation of DFM Tools: Die-Level Parametric Variation Monitoring [Online]. Available: http://www.chipestimate.com/tech_talks/2008/03/25/-The-Next-Generation-of-DFM-Tools:-Die-Level-Parametric-Variation-Monitoring-. [Holst 2007] S. Holst, and H. Wunderlich, 'Adaptive Debug and Diagnosis without Fault Dictionaries,' European Test Symposium, pp. 7-12, 2007. [Hora 2001] C. Hora, W. Beverloo, M. Lousberg, and R. Segers, 'On electrical fault diagnosis in full-scan circuits,' International Workshop on Defect Based Testing, pp. 17-22, 2001. [Huang 2002] S.-Y. Huang, 'Diagnosis of Byzantine open-segment faults,' Asian Test Symposium, pp. 248-253, 2002. [Huisman 2004] L. M. Huisman, “Diagnosing arbitrary defects in logic designs using single location at a time (SLAT),” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 1, pp. 91-101, 2004. [ITRS 2013] Semiconductor Industry Association, 'International Technology Roadmap for Semiconductors ' 2013 [Jain 1999] A. K. Jain, M. N. Murty, and P. J. Flynn, “Data clustering: a review,” ACM Computing Surveys, vol. 31, no. 3, pp. 264-323 Sept. 1999, 1999. [Jeffery 2004] C. M. Jeffery, A. Basagalar, and R. J. Figueiredo, 'Dynamic sparing and error correction techniques for fault tolerance in nanoscale memory structures,' Conference on Nanotechnology, pp. 168-170, 2004. [Kahng 2008] A. B. Kahng, and K. Samadi, “CMP fill synthesis: A survey of recent studies,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 1, pp. 3-19, 2008. [Kajihara 2006] S. Kajihara, S. Morishima, A. Takuma, X. Wen, T. Maeda, et al., 'A framework of high-quality transition fault ATPG for scan circuits,' International Test Conference, pp. 1-6, 2006. [Keim 2006] M. Keim, N. Tamarapalli, H. Tang, M. Sharma, J. Rajski, et al., 'A Rapid Yield Learning Flow Based on Production Integrated Layout-Aware Diagnosis,' International Test Conference, pp. 1-10, 2006. [Kim 2007] D. Kim, M. Amyeen, S. Venkataraman, I. Pomeranz, S. Basumallick, et al., 'Testing for systematic defects based on DFM guidelines,' International Test Conference, pp. 1-10, 2007. [Kim 2010] Y. M. Kim, Y. Kameda, H. Kim, M. Mizuno, and S. Mitra, 'Low-cost gate-oxide early-life failure detection in robust systems,' Symposium on VLSI Circuits, pp. 125-126, 2010. [Kim 2013] Y. M. Kim, J. Seomun, H.-O. Kim, K.-T. Do, J. Y. Choi, et al., 'Detection of early-life failures in high-K metal-gate transistors and ultra low-K inter-metal dielectrics,' Custom Integrated Circuits Conference, pp. 1-4, 2013. [Koenemann 2004] B. Koenemann, 'Design/process learning from electrical test,' International Conference on Computer-Aided Design, pp. 733-738, 2004. [Kruseman 2004a] B. Kruseman, A. Majhi, C. Hora, S. Eichenberger, and J. Meirlevede, 'Systematic defects in deep sub-micron technologies,' International Test Conference, pp. 290-299, 2004a. [Kruseman 2004b] B. Kruseman, A. K. Majhi, G. Gronthoud, and S. Eichenberger, 'On hazard-free patterns for fine-delay fault testing,' International Test Conference, pp. 213-222, 2004b. [Kuehlmann 1994] A. Kuehlmann, D. I. Cheng, A. Srinivasan, and D. P. LaPotin, 'Error diagnosis for transistor-level verification,' Design Automation Conference, pp. 218-224, 1994. [Lavo 1996] D. B. Lavo, T. Larrabee, and B. Chess, 'Beyond the Byzantine generals: Unexpected behavior and bridging fault diagnosis,' International Test Conference, pp. 611-619, 1996. [Lee 2006] H. Lee, S. Natarajan, S. Patil, and I. Pomeranz, 'Selecting high-quality delay tests for manufacturing test and debug,' International Symposium on Defect and Fault Tolerance in VLSI Systems, pp. 59-70, 2006. [Li 2001] J. C.-M. Li, C.-W. Tseng, and E. McCluskey, 'Testing for resistive opens and stuck opens,' International Test conference, pp. 1049-1058, 2001. [Liao 2013] K.-Y. Liao, S.-C. Hsu, and J. C.-M. Li, 'GPU-based n-detect transition fault ATPG,' Design Automation Conference, pp. 28, 2013. [Lin 2006] X. Lin, K.-H. Tsai, C. Wang, M. Kassab, J. Rajski, et al., 'Timing-aware ATPG for high quality at-speed testing of small delay defects,' Asia Test Symposium, pp. 139-146, 2006. [Lin 2006] Y.-C. Lin, and K.-T. Cheng, 'Multiple-Fault Diagnosis Based on Single-Fault Activation and Single-Output Observation,' Design, Automation & Test in Europe, pp. 1-6, 2006. [Lomax 2007] R. G. Lomax, 'One-Factor Analysis of Variance; Multiple Comparison Procedures,' in An Introduction to Statistical Concepts, 2nd ed., Lawrence Erlbaum Associates Publishers, 2007, pp. 197-205; 222-235. [Lu 2007] S.-Y. Lu, M.-T. Hsieh, and J.-J. Liou, 'An efficient SAT-based path delay fault ATPG with an unified sensitization model,' International Test Conference, pp. 1-7, 2007. [Malandruccolo 2011] V. Malandruccolo, M. Ciappa, H. Rothleitner, and W. Fichtner, “Design and Experimental Characterization of a New Built-In Defect-Based Testing Technique to Achieve Zero Defects in the Automotive Environment,” IEEE Transactions on Device and Materials Reliability, vol. 11, no. 2, pp. 349-357, 2011. [Maly 2003] W. Maly, T. Vogels, R. Blanton, A. Gattiker, T. Zanon, et al., 'Deformations of IC structure in test and yield learning,' International Test Conference, pp. 856-856, 2003. [Maxwell 1993] P. C. Maxwell, and R. C. Aitken, 'Biased voting: a method for simulating CMOS bridging faults in the presence of variable gate logic thresholds,' International Test Conference, pp. 63-72, 1993. [McCluskey 2000] E. J. McCluskey, and C.-W. Tseng, 'Stuck-fault tests vs. actual defects,' International Test Conference, pp. 336-342, 2000. [Mehta 2009] V. J. Mehta, M. Marek-Sadowska, K.-H. Tsai, and J. Rajski, “Timing-aware multiple-delay-fault diagnosis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 2, pp. 245-258, 2009. [Mekkoth 2006] J. Mekkoth, M. Krishna, J. Qian, W. Hsu, C. Chen, et al., 'Yield learning with layout-aware advanced scan diagnosis,' International Symposium for Testing and Failure Analysis, pp. 412-425, 2006. [Millman 1990] S. D. Millman, E. J. McCluskey, and J. M. Acken, 'Diagnosing CMOS bridging faults with stuck-at fault dictionaries,' International Test Conference, pp. 860-870, 1990. [Mitra 2004] S. Mitra, S. Eichenberger, E. Volkerink, and E. J. McCluskey, 'Delay defect screening using process monitor structures,' VLSI Test Symposium, pp. 43-43, 2004. [Moore 2006] D. S. Moore, and G. P. McCabe, 'Tests of Significance ' in Introduction to The Practice of Statistics, 5th ed., New York : W.H. Freeman and Co., 2006, pp. 400-412. [Nowak 2005] M. Nowak, and R. Radojcic, 'Are there economic benefits in DFM?,' Design Automation Conference, pp. 767-768, 2005. [Pant 1999] P. Pant, and A. Chatterjee, 'Efficient diagnosis of path delay faults in digital logic circuits,' International conference on Computer-aided design, pp. 471-476, 1999. [Peng 2010] K. Peng, J. Thibodeau, M. Yilmaz, K. Chakrabarty, and M. Tehranipoor, 'A novel hybrid method for SDD pattern grading and selection,' VLSI Test Symposium pp. 45-50, 2010. [Pomeranz 2004] I. Pomeranz, S. Venkataraman, S. M. Reddy, and B. Seshadri, 'Z-sets and z-detections: circuit characteristics that simplify fault diagnosis,' Design, Automation & Test in Europe, pp. 68-73, 2004. [Qiu 2004] W. Qiu, L.-C. Wang, D. Walker, D. Reddy, X. Lu, et al., 'K longest paths per gate (KLPG) test generation for scan-based sequential circuits,' International Test Conference, pp. 223-231, 2004. [Raghvendra 2005] S. Raghvendra, and P. Hurat, 'DFM: Linking design and manufacturing,' International Conference on VLSI Design, pp. 705-708, 2005. [Rodriguez 2008] N. Rodriguez, L. Song, S. Shroff, K. H. Chen, T. Smith, et al., 'Hotspot prevention using CMP model in design implementation flow,' International Symposium on Quality Electronic Design, pp. 365-368, 2008. [Sato 2002] Y. Sato, L. Yamazaki, H. Yamanaka, T. Ikeda, and M. Takakura, 'A persistent diagnostic technique for unstable defects,' International Test Conference, pp. 242-249, 2002. [Sato 2005a] Y. Sato, S. Hamada, T. Maeda, A. Takatori, and S. Kajihara, 'Evaluation of the statistical delay quality model,' Asia and South Pacific Design Automation Conference, pp. 305-310, 2005a. [Sato 2005b] Y. Sato, S. Hamada, T. Maeda, A. Takatori, Y. Nozuyama, et al., 'Invisible delay quality-SDQM model lights up what could not be seen,' International Test Conference, pp. 9 pp.-1210, 2005b. [Sauer 2013] M. Sauer, Y. M. Kim, J. Seomun, H.-O. Kim, K.-T. Do, et al., 'Early-life-failure detection using SAT-based ATPG,' International Test Conference, pp. 1-10, 2013. [Schuermyer 2005] C. Schuermyer, K. Cota, R. Madge, and B. Benware, 'Identification of systematic yield limiters in complex ASICS through volume structural test fail data visualization and analysis,' International Test Conference, pp. 9 pp.-145, 2005. [Schuermyer 2011] C. Schuermyer, B. Benware, G. Rhodes, D. Appello, V. Tancorre, et al., 'Device Selection for Failure Analysis of Chain Fails Using Diagnosis Driven Yield Analysis,' International Symposium for Testing and Failure Analysis, pp. 91-97, 2011. [Shao 2002] Y. Shao, I. Pomeranz, and S. M. Reddy, 'On generating high quality tests for transition faults,' Asian Test Symposium, pp. 1-8, 2002. [Sharma 2008] M. Sharma, B. Benware, L. Ling, D. Abercrombie, L. Lee, et al., 'Efficiently Performing Yield Enhancements by Identifying Dominant Physical Root Cause from Test Fail Data,' International Test Conference, pp. 1-9, 2008. [Sharma 2010] M. Sharma, C. Schuermyer, and B. Benware, “Determination of dominant-yield-loss mechanism with volume diagnosis,” IEEE Design & Test of Computers, vol. 27, no. 3, pp. 54-61, 2010. [Si2 2011] Si2. (2011). Nangate 45nm open cell library [Online]. Available: http://www.nangate.com/?page_id=22. [Synopsis 2011] Synopsis. TetraMAXATPG for Small Delay Defect Testing [[Online]]. Available: http://www.synopsys.com/Tools/Implementation/RTLSynthesis/Test/Pages/TetraMAXATPG.aspx. [Tam 2010] W.-C. Tam, O. Poku, and R. D. Blanton, 'Systematic defect identification through layout snippet clustering,' International Test Conference, pp. 1-10, 2010. [Tam 2011a] W.-C. Tam, and R. D. Blanton, 'To DFM or not to DFM?,' Design Automation Conference, pp. 65-70, 2011a. [Tam 2011b] W.-C. Tam, and R. D. Blanton, 'Physically-aware analysis of systematic defects in integrated circuits,' International Test Conference, pp. 1-10, 2011b. [Tang 2007] H. Tang, S. Manish, J. Rajski, M. Keim, and B. Benware, 'Analyzing Volume Diagnosis Results with Statistical Learning for Yield Improvement,' European Test Symposium, pp. 145-150, 2007. [Tang 2010] X. Tang, W.-T. Cheng, R. Guo, and S. M. Reddy, 'Diagnosis of Multiple Physical Defects Using Logic Fault Models,' Asian Test Symposium, pp. 94-99, 2010. [Turakhia 2007] R. Turakhia, W. R. Daasch, M. Ward, and J. Van Slyke, 'Silicon evaluation of longest path avoidance testing for small delay defects,' International Test Conference pp. 1-10, 2007. [Veneris 1999] A. Veneris, S. Venkataraman, I. N. Hajj, and W. K. Fuchs, 'Multiple design error diagnosis and correction in digital VLSI circuits,' VLSI Test Symposium, pp. 58-63, 1999. [Venkataraman 1997] S. Venkataraman, and W. K. Fuchs, 'A deductive technique for diagnosis of bridging faults,' International Conference on Computer-Aided Design, pp. 562-567, 1997. [Venkataraman 2000] S. Venkataraman, and S. B. Drummonds, 'POIROT: a logic fault diagnosis tool and its applications,' International Test Conference, pp. 253-262, 2000. [Vermeulen 2004] B. Vermeulen, C. Hora, B. Kruseman, E. J. Marinissen, and R. v. Rijsinge, 'Trends in testing integrated circuits,' International Test Conference, pp. 2004. [Waicukauski 1989] J. A. Waicukauski, and E. Lindbloom, “Failure diagnosis of structured VLSI,” IEEE Design & Test of Computers, vol. 6, no. 4, pp. 49-60, 1989. [Walker 1992] D. M. H. Walker, 'Critical area analysis,' International Conference on Wafer Scale Integration, pp. 281-290, 1992. [Wang 2009] S. Wang, and W. Wei, 'Machine learning-based volume diagnosis,' Design, Automation & Test in Europe, pp. 902-905, 2009. [Wang 2005] T. Wang, T. Hsieh, M.-T. Wang, D.-S. Su, C.-H. Chang, et al., “Stress migration and electromigration improvement for copper dual damascene interconnection,” Journal of The Electrochemical Society, vol. 152, no. 1, pp. G45-G49, 2005. [Wang 2003] Z. Wang, K.-H. Tsai, M. Marek-Sadowska, and J. Rajski, 'An efficient and effective methodology on the multiple fault diagnosis,' International Test Conference, pp. 329-338, 2003. [Wang 2005] Z. Wang, M. M. Marek-Sadowska, K.-H. Tsai, and J. Rajski, “Delay-fault diagnosis using timing information,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 9, pp. 1315-1325, 2005. [Wang 2006] Z. Wang, M. Marek-Sadowska, K.-H. Tsai, and J. Rajski, “Analysis and methodology for multiple-fault diagnosis,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 3, pp. 558-575, 2006. [Xi 2005] J. G. Xi, “Improving yield in RTL-to-GDSII flows,” EE Times, vol. 7, no. 11, 2005. [Xue 2013] Y. Xue, O. Poku, X. Li, and R. D. Blanton, 'PADRE: Physically-Aware Diagnostic Resolution Enhancement,' International Test Conference, pp. 1-10, 2013. [Ye 2010] J. Ye, Y. Hu, and X. Li, 'Diagnosis of multiple arbitrary faults with mask and reinforcement effect,' Design, Automation & Test in Europe, pp. 885-890, 2010. [Yilmaz 2008] M. Yilmaz, K. Chakrabarty, and M. Tehranipoor, 'Test-pattern grading and pattern selection for small-delay defects,' VLSI Test Symposium, pp. 233-239, 2008. [Yoshida 2002] K. Yoshida, T. Fujimaki, K. Miyamoto, T. Honma, H. Kaneko, et al., 'Stress-induced voiding phenomena for an actual CMOS LSI interconnects,' International Electron Devices Meeting, pp. 753-756, 2002. [Yu 2008] X. Yu, and R. D. Blanton, 'An effective and flexible multiple defect diagnosis methodology using error propagation analysis,' International Test Conference, pp. 1-9, 2008. [Yu 2010] X. Yu, and R. D. Blanton, “Diagnosis of Integrated Circuits With Multiple Defects of Arbitrary Characteristics,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 29, no. 6, pp. 977-987, 2010. [Yu 2012] X. Yu, and R. D. Blanton, “Improving Diagnosis Through Failing Behavior Identification,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 31, no. 10, pp. 1614-1625, 2012. [Zorian 2004] Y. Zorian, D. Gizopoulos, C. Vandenberg, and P. Magarshack, “Guest editors' introduction: design for yield and reliability,” IEEE Design & Test of Computers, vol. 21, no. 3, pp. 177-182, 2004. | |
dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/58096 | - |
dc.description.abstract | 在先進製程中,由於晶片中電晶體密度的增加以及操作頻率的上升,使得系統性缺陷 (systematic defects) 及微小延遲缺陷 (small delay defects) 成為良率及可靠性改善中的重要挑戰。 為了驗證可能造成缺陷的原因,實體錯誤分析(physical failure analysis) 是最普遍被使用的技術。 然而,由於先進設計電路的複雜度快速增加,實體錯誤分析所需要的時間不斷上升。 因此,非常需要準確的診斷技術來妥善選擇進行實體錯誤分析的晶片。
針對系統性缺陷,我們提出了診斷技術來鑑別有可能造成良率下降的致命實體特徵(culprit physical features)。 首先,我們從晶片佈局抽取容易造成缺陷的實體特徵,每個特徵分別與製程中不同發生缺陷的原因有關,例如:壓力,不完美的平面化,與金屬線和通孔(via)間不足的接觸面積等。 接著,對於每顆有測試錯誤的晶片,找出可疑的缺陷實體位置。 在這階段我們提出考慮實體佈局資訊與實體特徵並能處理多重缺陷(multiple defects)的診斷技術。 最後,我們使用變異數分析(analysis of variance)的統計技術來識別出可能的致命實體特徵。 我們所提出的技術在55奈米的製程中用來診斷209顆在測試中有錯誤的晶片,並成功地鑑別了一個可能造成良率下降的致命實體特徵。 根據此特徵而選擇的四顆晶片則經由實體錯誤分析證實了有系統性開路缺陷(systematic open defects)的存在。 針對微小延遲缺陷,我們則提出了考慮時序資訊的診斷技術,並使用高於操作頻率的測試技術(faster-than-at-speed test)。 我們使用了時序分析得到的上下界資訊(timing upper bound and lower bound)來改善診斷結果。 我們所提出的技術在先進製程中用來測試及診斷25顆先進工業界晶片。 其中一顆晶片的診斷結果並經由實體錯誤分析驗證確認有微小延遲缺陷的存在。 | zh_TW |
dc.description.abstract | Systematic defects and small delay defects (SDD) have become key challenges of yield and reliability due to shrinking geometry and increasing frequency in advanced technology. For yield and reliability improvement, physical failure analysis (PFA) is the most widely-used method to understand defect mechanisms. However, PFA is usually performed on a small part of failing dies because it is very time-consuming and expensive. Hence, a diagnosis technique which can correctly identify systematic defects and SDD to guide the selection of PFA dies is very much needed.
For systematic defect diagnosis, identification of culprit physical features that are responsible for yield loss is important for both yield enhancement and design-for-manufacturability (DFM) rule evaluation. Culprit physical features are certain defect-prone layout characteristic associated with various systematic defect mechanisms, such as stress, imperfect planarization, and other complex design-process interactions. To identify culprit physical features, we propose a systematic defect diagnosis technique, which considers physical feature in diagnosis of failing dies and then performs statistical analysis. To diagnose defects with complex failing behavior and multiple defects in the same die, we propose two physical-aware diagnosis techniques: physical feature-based diagnosis (PF-D) and multiple defect physical-aware diagnosis (MD-PhD). To cope with noise from random defects, a statistical technique | en |
dc.description.provenance | Made available in DSpace on 2021-06-16T08:05:53Z (GMT). No. of bitstreams: 1 ntu-103-F96943116-1.pdf: 4112443 bytes, checksum: 251fd3a4dc2ed2018710970a399a704b (MD5) Previous issue date: 2014 | en |
dc.description.tableofcontents | 謝誌 iii
摘要 v 關鍵字 (Keywords) vi ABSTRACT vii TABLE OF CONTENTS ix LIST OF FIGURES xii LIST OF TABLES xiv CHAPTER 1 INTRODUCTION 1 1.1 Systematic Defect Diagnosis 2 1.2 Small Delay Defect Diagnosis 9 1.3 Contributions 11 CHAPTER 2 BACKGROUND 13 2.1 Background in Traditional Diagnosis 13 2.2 Review of Single-Die Diagnosis 16 2.2.1 Diagnosis for Complex Defect Behavior 16 2.2.2 Multiple Defects Diagnosis 18 2.3 Review of Volume Diagnosis 21 2.4 Review of Testing and Diagnosis for SDD 23 2.4.1 SDD Test Generation 23 2.4.2 SDD Diagnosis 25 CHAPTER 3 PHYSICAL-AWARE SINGLE-DIE DIAGNOSIS 26 3.1 Introduction 26 3.2 Layout Extraction 28 3.2.1 Physical Feature Extraction 28 3.2.2 Physical Site Partition 34 3.3 Physical Feature-Based Diagnosis 36 3.3.1 Structure Tracing and Fault Simulation 37 3.3.2 Physical-aware Single-Location-At-a-Time (PSLAT) Diagnosis 41 3.3.3 Group-based Covering 45 3.3.4 Die-based Defect Probability Calculation 47 3.4 Multiple Defect Physical-aware Diagnosis 48 3.4.1 Single Location In-a-Cluster (SLIC) Diagnosis 50 3.4.2 Net to Physical Site Mapping 57 3.4.3 ES-based Defect Probability Calculation 61 3.5 Contrasts Between PF-D and MD-PhD 63 3.6 Experimental Results 65 3.6.1 Simulations with Random Defect Insertion 66 3.6.2 Simulations with Systematic Defect Insertion 70 3.6.3 Silicon Case Studies 74 3.7 Summary 76 3.8 Glossary 78 CHAPTER 4 STATISTICAL ANALYSIS FOR SYSTEMATIC DEFECTS 80 4.1 Introduction 80 4.2 Sample Selection 82 4.2.1 Die selection for PF-D 82 4.2.2 ES selection for MD-PhD 84 4.3 Analysis of Variance (ANOVA) 85 4.3.1 F-test 87 4.3.2 Multiple Comparison Procedures (MCP) 89 4.3.3 Hierarchical and Weighted MCP 91 4.4 Z-test 93 4.4.1 Z-score 95 4.4.2 Baseline Mean 97 4.5 Experimental Results 98 4.5.1 Simulation Results of ANOVA and Z-test for PF-D 99 4.5.2 Simulation Results of ANOVA for MD-PhD 106 4.5.3 Silicon Results of ANOVA 110 4.6 Summary 119 4.7 Glossary 121 CHAPTER 5 TIMING-AWARE DIAGNOSIS FOR SMALL DELAY DEFECTS 122 5.1 Introduction 122 5.2 Timing-aware Single-Location At-a-Time (TSLAT) Diagnosis 124 5.2.1 Timing Analysis and Candidate Timing Slacks 124 5.2.2 TSLAT Pattern Identification 126 5.2.3 Minimum Set Covering 131 5.3 Experimental Results 132 5.3.1 Test Generation for SDD 132 5.3.2 Simulation of Industrial Design 133 5.3.3 Silicon Diagnosis Results 136 5.4 Summary 137 5.5 Glossary 138 CHAPTER 6 CONCLUSIONS AND FUTURE WORK 139 6.1 Conclusions 139 6.2 Future Work 142 References 144 | |
dc.language.iso | en | |
dc.title | 針對先進製程中系統性缺陷以及微小延遲缺陷並考慮實體及時序資訊之診斷技術 | zh_TW |
dc.title | Physical-aware and Timing-aware Diagnosis for Systematic Defects and Small Delay Defects in Advanced Technology | en |
dc.type | Thesis | |
dc.date.schoolyear | 102-2 | |
dc.description.degree | 博士 | |
dc.contributor.oralexamcommittee | 黃錫瑜,呂學坤,溫宏斌,黃俊郎 | |
dc.subject.keyword | 系統性缺陷,微小延遲缺陷,致命實體特徵,考慮實體資訊之診斷,考慮時序資訊之診斷,多重缺陷診斷,統計分析診斷, | zh_TW |
dc.subject.keyword | systematic defects,small delay defects,culprit physical features,physical-aware diagnosis,timing-aware diagnosis,multiple defect diagnosis,statistical diagnosis, | en |
dc.relation.page | 153 | |
dc.rights.note | 有償授權 | |
dc.date.accepted | 2014-06-25 | |
dc.contributor.author-college | 電機資訊學院 | zh_TW |
dc.contributor.author-dept | 電子工程學研究所 | zh_TW |
顯示於系所單位: | 電子工程學研究所 |
文件中的檔案:
檔案 | 大小 | 格式 | |
---|---|---|---|
ntu-103-1.pdf 目前未授權公開取用 | 4.02 MB | Adobe PDF |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。