請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/53716
完整後設資料紀錄
DC 欄位 | 值 | 語言 |
---|---|---|
dc.contributor.advisor | 李建模(Chien-Mo Li) | |
dc.contributor.author | Cheng-Yu Han | en |
dc.contributor.author | 韓承佑 | zh_TW |
dc.date.accessioned | 2021-06-16T02:28:11Z | - |
dc.date.available | 2015-08-06 | |
dc.date.copyright | 2015-08-06 | |
dc.date.issued | 2015 | |
dc.date.submitted | 2015-08-03 | |
dc.identifier.citation | [Ahmadi 2003] T. Ahmadi and F. Najm, “Timing Analysis in Presence of Power Supply Noise and Ground Voltage Variations,” Proc. IEEE Int. Conf. Comput.-Aided Design, 2003, pp. 1–8.
[Ahmed 2007] N. Ahmed, M. Tehranipoor, and V. Jayaram, “Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design,” Proc. of Design Automation Conf., 2007, pp. 533-538. [Ahmed 2007b] N. Ahmed, M. Tehranipoor, and V. Jayaram, “Supply Voltage Noise Aware ATPG for Transition Delay Faults,” Proc. of VLSI Test Symposium, 2007, pp. 179-186. [Ahmed 2009] N. Ahmed and M. Tehranipoor, “A Novel Faster-Than-at-Speed Transition-Delay Test Method Considering IR-Drop Effects,” Trans. on Computer-Aided Design of Integrated Circuits and Systems, 2009, vol. 28, issue 10, pp. 1573-1582. [Almukhaizim 2008] Almukhaizim, Sobeeh, and Ozgur Sinanoglu. 'Peak power reduction through dynamic partitioning of scan chains.' Test Conference, 2008. ITC 2008. IEEE International. IEEE, 2008. [Apache 2011] Apache RedHawk User Manual, 2011. [Aparicio 2012] M. Aparicio, M. Comte, F. Azais, Y. Bertrand, M. Renovell, J. Jiang, I. Polian and B. Becker, “An IR-Drop Simulation Principle Oriented to Delay Testing,” 27th Conference on Design of Circuits and Integrated Systems (DCIS), Avignon, France, 2012. [Aparicio 2013] M. Aparicio, M. Comte, F. Azaïs, M. Renovell, J. Jiang, I. Polian, B. Becker, “Pre-characterization Procedure for a Mixed Mode Simulation of IR-Drop Induced Delays,” Proc. of IEEE LATW, 2013. [Badereddine 2006] N. Badereddine, P. Girard, S. Pravossoudovitch, C. Landrault, and A. Virazel, “Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics,” Design and Test of Integrated Systems in Nanoscale Technology, 2006, pp. 359-364. [Balatsouka 2010] S. Balatsouka, V. Tenentes, X. Kavousianos, and K. Chakrabarty, “Defect Aware X-Filling for Low-Power Scan Testing,” Proc. of Design, Automation & Test in Europe, 2010, pp. 873-878. [Butler 2004] K. M. Butler, J. Saxena, T. Fryars, G. Hetherington, “Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques,” Proc. of Int’l. Test Conf., 2004, pp. 355-364 [Cadence 2009] Package effect on chip power supply: can designers afford to ignore it? https://www.cadence.com/rl/Resources/conference_papers/9.3Presentation.pdf [Chang 2010] L. Chang, D. J. Frank, J. Montoye, et al., “Practical Strategies for Power-Efficient Computing Technologies,” Proc. IEEE, vol. 98, no. 2, pp. 215-236, Feb. 2010. [Chen 1997] H. Chen and D. Ling, “Power supply noise analysis methodology for deep submicron VLSI design,” Proceedings of ACM/IEEE Design Automation Conf., pp. 638–643, 1997. [Chen 1998] H. H. Chen and J. S. Neely, “Interconnect and circuit modeling techniques for full-chip power noise analysis,” Trans. on ComponentsPackaging II, 1998. [Chen 2012] Q. Chen, S.-H. Weng and C.-K. Cheng, “A Practical Regularization Technique for Modified Nodal Analysis in Large-Scale Time-Domain Circuit Simulation,” IEEE Trans. on Computer-Aided Design, 31(7):1031-1040, 2012. [Davis 2010] T. A. Davis and E. P. Natarajan, “Algorithm 907: KLU, a direct sparse solver for circuit simulation problems,” ACM Trans. MS, vol.37, no.3, 2010. [Ding 2013] W.-S. Ding, H.-Y. Hsieh, and J. C.-M. Li, “Test Pattern Modification for IR-drop Reduction,” IEEE Int’l Test Conf. poster, 2013. [Devanathan 2007] V. R. Devanathan, C. P. Ravikumar, and V. Kamakoti. “Glitch-aware pattern generation and optimization framework for power-safe scan test,” Proc. VLSI Test Symp., pages 167–172, 2007. [Dreslinski 2010] R. Dreslinski, M. Wiekowski, D. Blaauw, D. Sylvester, and T. Mudge, “Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits,” Proc. IEEE, vol. 98, no. 2, pp. 253-266, Feb. 2010. [El-Maleh 2004] A. El-Maleh and K. Al-Utaibi, “An efficient test relaxation technique for synchronous sequential circuits,” Trans. on Computer-Aided Design of Integrated Circuits and Systems, 2004, vol. 23, issue 6, pp. 933-940. [Enami 2008] T. Enami, S. Ninomiya, and M. Hashimoto, “Statistical timing analysis considering spatially and temporally correlated dynamic power supply noise,” Proc. of ISPD, pp.160-167, 2008. [Enokimoto 2009] K. Enokimoto, X. Wen, Y. Yamato, K. Miyase, H. Sone, S. Kajihara, M. Aso, and H. Furukawa, “CAT: A Critical-Area-Targeted Test Set Modification Scheme for Reducing Launch Switching Activity in At-Speed Scan Testing,” Proc. of Asian Test Symposium, Nov. 2009, pp. 99-104. [Enz 1995] C. Enz, F. Krummenacher, and E. Vittoz, “An Analyitical MOS Transistor Model Valid in All Regions of Operation and Dedicated to Low-Voltage and Low-Current Applications,” Analog Integrated Circuits and Signal Processing, vol. 8, no. 1, pp. 83-114, July 1995. [Girard 2002] P. Girard, “Survey of Low-Power Testing of VLSI Circuits,” Design & Test of Computers, 2002, vol. 19, issue 3, pp. 80-90. [Harris 2010] Harris, David Money, et al. 'A transregional model for near-threshold circuits with application to minimum-energy operation,' Microelectronics (ICM), 2010 International Conference on. IEEE, 2010. [Hashimoto 2004] M. Hashimoto, J. Yamaguchi and H. Onodera, “Timing Analysis Considering Spatial Power/Ground Level Variation,” International Conference on Computer-Aided Design, 2004. [Hashimoto 2008] M. Hashimoto, J. Yamaguchi, T. Sato, and H. Onodera, “Timing Analysis Considering Temporal Supply Voltage Fluctuation,” Trans. on Information and Systems, vol. E91-D, issue 3, 2008, pp. 655-660. [Hedenstiema 1987] N. Hedenstiema and K. 0. Jeppson. CMOS circuit speed and buffer optimization. IEEE Trans. on Computer-Aided Design, 6(2):270-281, March 1987. [Jiang 1999] Y. M. Jiang and K. T. Cheng, “Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices”, Proceedings of ACM/IEEE Design Automation Conf., pp.760-765, 1999. [Jiang 2013] J. Jiang, M. Aparicio, M. Comte, F. Azaぴıs, M. Renovell and I. Polian, “MIRID: Mixed-Mode IR-Drop Induced Delay Simulator,” Proc. of Asian Test Symposium, Nov. 2013, pp. 177-182. [Kourtev 2008] Kourtev, Ivan S., Taskin, Baris, Friedman, Eby G. “Timing optimization through clock skew scheduling,” Springer Science & Business Media, 2008. [Lee 2000] K.-J. Lee, T.-C. Huang, and J-J. Chen, “Peak-Power Reduction for Multiple-Scan Circuits during Test,” Proc. of Asian Test Symposium, 2000, pp. 453-458. [Lee 2008] J. Lee, S. Narayan, M. Kapralos, and M. Tehranipoor, “Layout-Aware, IR-drop Tolerant transition Fault Pattern Generation,” Proc. of Design, Automation and Test in Europe, 2008, pp. 1172-1177. [Li 2008] J. Li, Q. Xu, Y. Hu, and X. Li, “iFill: An Impact-Oriented X-Filling Method for Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing,” Proc. of Design, Automation and Test in Europe, 2008, pp. 1184-1189. [Li 2013] Y.-H. Li, W.-C. Lien, I.-C. Lin, K.-J. Lee, “Capture-Power-Safe Test Pattern Determination for At-Speed Scan-Based Testing,” IEEE Trans. on Computer-Aided Design, vol. 33, No. 1, 127-138, 2013. [Lin 2008] H.-T. Lin and J. C-M. Li, “Simultaneous Capture and Shift Power Reduction Test Pattern Generator for Scan Testing,” IET Computers and Digital Techniques, 2008, vol. 2, issue 2, pp.132-141. [Liou 2000] J.-J. Liou, A. Krstić, Y.-M. Jiang and K.-T. Cheng, “Path selection and pattern generation for dynamic timing analysis considering power supply noise effects,” IEEE International Conference on Computer Aided Design, pp. 493-497, 2000. [Liou 2003] J.-J. Liou, A. Krstic, Y.-M. Jiang and K.-T. Cheng, ‘‘Modeling, Testing, and Analysis for Delay Defects and Noise Effects in Deep Submicron Devices,’’ IEEE Trans. Computer-Aided Design, vol. 22, no. 6, pp. 756-769, 2003. [Ma 2009] J. Ma, J. Lee, and M. Tehranipoor, “Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths,” Proc. of VLSI Test Symposium, 2009, pp. 221-226. [Ma 2011] J. Ma, M. Tehranipoor, “Layout-Aware Critical Path Delay Test Under Maximum Power Supply Noise Effects,” IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol.30, no.12, pp.1923-1934, Dec. 2011. [Maurine 2001] P. Maurine, M. Rezzoug, D. Auvergne “Output transition time modeling of CMOS structures,” IEEE Int. Symp. on Circuits and Syst., vol. 5, pp. 363-366, 2001. [Marković 2010] D. Marković, C. Wang, L. Alarcón, T. Liu, and J. Rabaey, “UltralowPower Design in Near-Threshold Region,” Proc. IEEE, vol. 98, no. 2, pp. 237-252, Feb. 2010. [Miyase 2008] K. Miyase, K. Noda, K. Ito, K. Hatayama, T. Aikyo, Y. Yamato, H. Furukawa, X. Wen, S. Kajihara, “Effective IR-Drop Reduction in At-Speed Scan Testing Using Distribution-Controlling X-Identification,” Proc. of Int’l Conf. on Computer Aided Design, 2008, pp. 52-58. [Miyase 2011] K. Miyase, X. Wen, M. Aso, H. Furukawa, Y. Yamato, and S. Kajihara, “Transition-Time-Relation based capture-safety checking for at-speed scan test generation,” Proc. Design Automation and Test in Europe Conf., pp. 895-898, 2011. [Moghaddam 2010] E. K. Moghaddam , J. Rajski, M. S. Kassab, and M. Reddy, “At-Speed Scan Test with Low Switching Activity,” Proc. of VLSI Test Symposium, 2010, pp. 177-182. [Najm 2010] F. N. Najm, “Circuit Simulation,” New York: Wiley, 2010. [Nassif 2000] S. R. Nassif and J. N. Kozhaya, “Fast Power Grid Simulation,” IEEE Design Automation Conference, pp. 156-161, Jun. 2000. [Ogasahara 2007] Y. Ogasahara, T. Enami, M. Hashimoto, T. Sato, and T. Onoye, “Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop With On-Chip Delay Measurement,” Trans. on Circuits and Systems II: Express Briefs, vol. 54, issue: 10, 2007, pp.868-872. [Okumura 2010] T. Okumura, F. Minami, K. Shimazaki, K. Kuwada, M. Hashimoto, “Gate Delay Estimation in STA under Dynamic Power Supply Noise,” Proc. ASPDAC., 2010. [Pant 2003] S. Pant, D. Blaauw, V. Zolotov, S. Sundareswaran and R. Panda, “Vectorless analysis of supply noise induced delay variation,” IEEE International Conference on Computer Aided Design, pp. 184-191, Nov. 2003. [Peng 2010] K. Peng, Y. Huang, P. Mallick, W. Cheng, M. Tehranipoor, “Full-Circuit SPICE Simulation Based Validation of Dynamic Delay Estimation,” Proc. Eur. Test Symp., 2010. [Rao 2012] S. K. Rao, C. Sathyanarayana, A. Kallianpur, R. Robucci, C. Patel, “Estimating Power Supply Noise and Its Impact on Path Delay,” VLSI Test Symposium, 2012. [Remersaro 2006] S. Remersaro, X. Lin, Z. Zhang, S. M. Reddy, I. Pomeranz, and J. Rajski, “Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs,” Proc. of Int’l Test Conf., Paper 32.2, 2006, pp. 1-10. [Remersaro 2007] S. Remersaro, L. Xijiang, S.M. Reddy, I. Pomeranz, and J.Rajski, “Scan-Based Tests with Low Switching Activity, ” Design & Test of Computers, 2007, vol. 24, issue 3, pp. 268-275. [Sakurai 1991] Sakurai, Takayasu, and A. Richard Newton. 'Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas.' Solid-State Circuits, IEEE Journal of 25.2 (1990): 584-594. [Saint-Laurent 2004] M. Saint-Laurent, and M. Swaminathan, “Impact of power-supply noise on timing in high-frequency microprocessors,” Trans. on Advanced Packaging, vol. 27, issue 1, 2004, pp. 135-144. [Saleh 2000] R. Saleh, S. Z. Hussain, S. Rochel, and D. Overhauser, “Clock skew verification in the presence of IR-drop in the power distribution network,” IEEE Trans. on Computer-Aided Design, vol. 19, No. 6, pp. 635–644, 2000. [Saxena 2003] J. Saxena, K. M. Butler, V.B. Jayaram, S. Kundu, N.V. Arvind, P. Sreeprakash, and M. Hachinger, “A Case Study of IR-drop in Structured At-Speed Testing,” Proc. of Int’l Test Conf., vol.1, 2003, pp. 1098-1104. [Shepard 1996] K. L. Shepard and V. Narayanan, “Noise in deep submicron digital design,” Proceedings of IEEE ICCAD, pp. 524–531, 1996. [Synopsys 2008] Synopsys library compiler user guide, 2008. [Tehranipoor 2010] M. Tehranipoor and K.M. Butler, “Power Supply Noise: A Survey on Effects and Research,” IEEE Design & Test of Computers, vol.27, issue 2, 2010, pp. 51-67. [Todri 2012] A. Todri, A. Bosio, L. Dilillo, P. Girard, and A. Virazel, “Uncorrelated Power Supply Noise and Ground Bounce Consideration for Test Pattern Generation,” Trans. on Very Large Scale Integration, vol. 21, issue 5, 2012. [Tzeng 2009] C. W. Tzeng, S. Y. Huang, “QC-Fill: Quick-and-Cool X-Filling for Multicasting-Based Scan Test,” Trans. on Computer-Aided Design of Integrated Circuits and Systems, 2009, vol. 28, issue 11, pp. 1756-1766. [Varma 2012] P. Varma, “Current and Future Directions in Automatic Test Pattern Generation for Power Delivery Network Validation,” Proc. of Asian Test Symposium, Nov. 2012, pp. 233-238. [Wang 2005] J. Wang, X. Lu, W. Qiu, Z. Yue, S. Fancler, W. Shi and D. M. H. Walker, “Static Compaction of Delay Tests Considering Power Supply Noise,” Proc. of VLSI Test Symposium, Palm Springs, CA, May 2005, pp. 235-240. [Wang 2006] J. Wang, D. M. H. Walker, A. Majhi, B. Kruseman, G. Gronthoud, L. E. Villagra, P. v. d. Wiel, and S. Eichenberger, “Power supply noise in delay testing,” Proc. of Int’l Test Conf., 2006, pp. 1-10. [Wang 2007] J. Wang, D. M. Walker, X. Lu, A. Majhi, B. Kruseman, G. Gronthoud, L. E. Villagra, P. v. d. Wiel, and S. Eichenberger, “Modeling Power Supply Noise in Delay Testing,” IEEE Design & Test of Computers, vol.24, issue 3, 2007, pp. 226-234. [Wen 2005] X. Wen, Y. Yamashita, S. Morishima S. Kajihara, L.T. Wang, K. K. Saluja, and K. Kinoshita, “Low-Capture-Power Test Generation for Scan-Based At-Speeding Testing,” Proc. of Int’l Test Conf., 2005, pp. -1028. [Wen 2007] X. Wen, K. Miyase, T. Suzuki, S. Kajihara, Y. Ohsumi, and K. K. Saluja, “Critical-path-aware X-filling for Effective IR-drop Reduction in At-speed Scan Testing,” Proc. of Design Automation Conf., 2007, pp. 527-532. [Wen 2007b] X. Wen, K. Miyase, S. Kajihara, T. Suzuki, Y. Yamato, P. Girard, Y. Ohsumi, and L.-T. Wang, “A Novel Scheme to Reduce Power Supply Noise for High-Quality At-Speed Scan Testing,” Proc. of Int’l. Test Conf., 2007, pp. 1-10. [Wen 2008] X. Wen et al. “A capture-safe test generation scheme for at-speed scan testing,” Proc. European Test Symp., pages 55–60, 2008. [Wen 2011] Ren-Yang Wen, Yu-Chiuan Huang, Min-Hong Tsai, James C.-M. Li, Ming-Tung Chang, Min-Hsiu Tsai, Chih-Mou Tseng and Hung-Chun Li, “Test Clock Domain Optimization for Peak Power Supply Noise Reduction During Scan,” Proc. of Int’l Test Conf., 2011, pp. 1-8. [Whetsel 2000] Whetsel, Lee. 'Adapting scan architectures for low power operation,' IEEE Proceedings. International Test Conference, 2000., 2000. [Wu 2010] M.-F. Wu, H.-C. Pan, T.-H. Wang, J.-l. Huang et al. “Improved weight assignment for logic switching activity during at-speed test pattern generation,” ASP-DAC, 2010. [Yoshida 2002] T. Yoshida and M. Watati, “MD-SCAN method for low power scan testing,” Proc. of Asian Test Symposium, 2002, pp. 80-85. [Zhu 2003] Z. Zhu, B. Yao and C.-K. Cheng, “Power network analysis using an adaptive algebraic multigrid approach,” IEEE Design Automation Conference, pp. 105-108, jun. 2003. | |
dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/53716 | - |
dc.description.abstract | 本論文提出一個新穎的想法去修改測試向量為了減少在捕獲造成電源供應雜訊太大之測試向量,由於此種測試向量會造成過長的路徑延遲,當路徑延遲大於電路時脈,會造成良好的晶片測試失敗。我們計算因為電源雜訊而產生的路徑延遲,我們的演算法精準度與HSPICE比較,只有8%之誤差;速度與NANOSIM比較,在大型電路中可以加速272倍。實驗結果顯示,我們在大型電路中成功辨識出88個測試向量有過長的路徑延遲,並且利用業界測試向量產生器重新產生安全的測試資料。我們提出的技術相對於考慮功率的自動測試向量產生器有較短的測試向量、較低的電壓降、較高的錯誤涵蓋率。 | zh_TW |
dc.description.abstract | This thesis propose a power-supply-noise-aware test pattern analysis and regeneration framework. The proposed framework analysis timing with reasonable accuracy at much faster speed than existing tools. This technique is very scalable because it is based on linear analytical functions, instead of solving nonlinear functions. Moreover, the function is technology dependent, so there is no need to perform spice characterization for each cells. The experimental results show, for small circuits, the error is less than 5% compared with HSPICE. For large circuits, we achieved 272 times speed up compared with NANOSIM. We perform timing analysis on a 638K gate benchmark circuit to identify 88 timing-violation test patterns (out of 31K test patterns) that are difficult to detect by traditional techniques. After test pattern regeneration, we removed all risky patterns, without fault coverage loss and with only little test inflation. The proposed technique generates shorter test sets and higher fault coverage than commercial power-aware ATPG. | en |
dc.description.provenance | Made available in DSpace on 2021-06-16T02:28:11Z (GMT). No. of bitstreams: 1 ntu-104-R02943141-1.pdf: 1378311 bytes, checksum: 5b0481029f7abdee67c17b0846f01d7a (MD5) Previous issue date: 2015 | en |
dc.description.tableofcontents | 中文摘要 i
ABSTRACT ii CONTENTS iii LIST OF FIGURES v LIST OF TABLES vi Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Proposed Techniques 6 1.3 Contributions 10 1.4 Organization 11 Chapter 2 Background 12 2.1 Prior work in low power testing 13 2.2 PSN aware Timing Analysis 18 2.3 Extra Gate Delay Calculation 21 Chapter 3 Purposed Techniques 24 3.1 Overall Flow 25 3.2 Charge Model 28 3.3 Extra Gate Delay Estimation 31 3.3.1 Nominal Voltage Delay calculation 36 3.3.2 Near Threshold Voltage Delay Calculation 37 3.4 Window Partition 39 3.5 Test Pattern Regeneration 41 Chapter 4 Experimental Result 43 4.1 Experimental Setup 43 4.2 Path Delay Estimation 45 4.3 Risky Pattern Identification 48 4.4 Path Delay Consider VDD Scaling and VT Variation 49 4.5 Compare with power-aware ATPG 51 Chapter 5 Conclusion and Future Work 53 Reference 55 | |
dc.language.iso | en | |
dc.title | 考慮電源雜訊之測試資料分析與重建用於增進良率之方法 | zh_TW |
dc.title | Power-Supply-Noise-Aware Test Pattern Analysis and Regeneration for Yield Improvement | en |
dc.type | Thesis | |
dc.date.schoolyear | 103-2 | |
dc.description.degree | 碩士 | |
dc.contributor.oralexamcommittee | 黃俊郎(Jiun-Lang Huang),黃錫瑜(Shi-Yu Huang) | |
dc.subject.keyword | 電源雜訊,測試,時序分析,良率, | zh_TW |
dc.subject.keyword | power-supply-noise,PSN,testing,transition fault,yield,timing analysis, | en |
dc.relation.page | 66 | |
dc.rights.note | 有償授權 | |
dc.date.accepted | 2015-08-03 | |
dc.contributor.author-college | 電機資訊學院 | zh_TW |
dc.contributor.author-dept | 電子工程學研究所 | zh_TW |
顯示於系所單位: | 電子工程學研究所 |
文件中的檔案:
檔案 | 大小 | 格式 | |
---|---|---|---|
ntu-104-1.pdf 目前未授權公開取用 | 1.35 MB | Adobe PDF |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。