請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/10491
完整後設資料紀錄
DC 欄位 | 值 | 語言 |
---|---|---|
dc.contributor.advisor | 楊佳玲(Chia-Lin Yang) | |
dc.contributor.author | Yi-Jung Chen | en |
dc.contributor.author | 陳依蓉 | zh_TW |
dc.date.accessioned | 2021-05-20T21:33:47Z | - |
dc.date.available | 2013-08-19 | |
dc.date.available | 2021-05-20T21:33:47Z | - |
dc.date.copyright | 2010-08-19 | |
dc.date.issued | 2010 | |
dc.date.submitted | 2010-08-17 | |
dc.identifier.citation | [1] Moore’s law. http://www.intel.com/technology/mooreslaw/index.htm.
[2] Mpeg-2 video. IS standard. I. D. 13818-2, 2001. [3] T. Adam, K. Chandy, and J. Dickson. A comparison of list schedules for parallel processing systems. Communications of the ACM, 17(12):685–690, December 1974. [4] M. Awasthi and R. Balasubramonian. Exploring the design space for 3d clustered architectures. In Proceedings of the 3rd IBM Watson Conference on Interaction between Architecture, Circuits, and Compilers (P=ac2), Yorktown Heights, New York, USA, October 2006. [5] R. Banakar, S. Steinke, B.-S. Lee, M. Balakrishnan, and P. Marwedel. Scratchpad memory: A design alternative for cache on-chip memory in embedded systems. In Proceedings of the Tenth International Symposium on Hardware/Software Codesign (CODES ’02), pages 73–78, Estes Park, Colorado, USA, May 2002. [6] P. Benkart, A. Heittmann, H. Huebner, U. Ramacher, A. Kaiser, A. Munding, M. Bschorr, H.-J. Pfleiderer, and E. Kohn. 3d chip stack technology using through-chip interconnects. IEEE Design and Test of Computers, 2(6):512– 518, November 2005. [7] B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jian, G. H. Loh, D. Mc-Cauley, P. Morrow, D. W. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, and C. Webb. Die stacking (3d) microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO '06), pages 469–479, Orlando, Florida, USA, December 2006. [8] B. Black, D. W. Nelson, C. Webb, and N. Samra. 3d processing technology and its impact on ia32 microprocessors. In Proceedings of IEEE International Conference on Computer Design (ICCD ’04), pages 316– 318, San Jose, California, USA, October 2004. [9] CACTI. Cacti5.3 online available at. http://www.hpl.hp.com/research/cacti/. [10] G. Chen, F. Li, S. Son, and M. Kandemir. Application mapping for chip multiprocessors. In Proceedings of the 45th ACM/IEEE Design Automation Conference (DAC ’08), pages 620–625, Anaheim, California, USA, June 2008. [11] Y.-J. Chen, C.-L. Yang, and Y.-S. Chang. An architectural co-synthesis algorithm for energy-aware network-on-chip design. Jouranl of Systems Architecture, 55(5-6):299–309, May 2009. [12] J. Cong, J. Wei, and Y. Zhang. A thermal-driven floorplanning algorithm for 3d ics. In Proceedings of the 2004 IEEE/ACM International Conference on Computer-Aided Design (ICCAD ’04), pages 306–313, San Jose, California, USA, November 2004. [13] S. Das, A. Fan, K.-N. Chen, C. Tan, N. Checka, and R. Reif. Technology, performance and computer-aided design of three-dimensional integrated circuits. In Proceedings of the 2004 International Symposium on Physical Design (ISPD ’04), pages 108 – 115, Phoenix, Arizona, USA, 2004. [14] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Cmineo, A. M. Sule, M. Steer, and P. D. Franzon. Demystifying 3d ics: The pros and cons of going vertical. IEEE Design and Test of Computers, 22(6):498–510, 2005. [15] R. P. Dick. Embedded system synthesis benchmarks suites (e3s). http://www.ece.northwestern.edu/∼dickrp/e3s/. [16] R. P. Dick and N. K. Jha. Mogac: a multiobjective genetic algorithm for the co-synthesis of hardware-software embedded systems. In Procedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design (ICCAD ’97), pages 522–529, San Diego, California, USA, November 1997. [17] R. P. Dick, D. L. Rhodes, and W. Wolf. Tgff: Task graphs for free. In Proceedings of the 6th international workshop on Hardware/software codesign, pages 97–101, March 1998. [18] X. Dong, X. Wu, G. Sun, Y. Xie, H. Li, and Y. Chen. Circuit and microarchitecture evaluation of 3d stacking magnetic ram (mram as a universal memory replacement). In Proceedings of the 45th ACM/IEEE Design Automation Conference (DAC ’08), pages 554–559, Anaheim, California, USA, June 2008. [19] X. Dong and Y. Xie. System-level cost analysis and design exploration for three-dimensional integrated circuits (3d ics). In Proceedings of the 2009 Asia and South-Pacific Design Automation Conference (ASPDAC ’09), pages 234–241, Yokohama, Japan, January 2009. [20] EEMBC. Embedded microprocessor benchmark consortium. http://www.eembc.org/home.php. [21] M. R. Garey and D. S. Jonson. Computers and Intractability: A Guide to the Theory of NP-Completeness. W. H. Freeman and Company, 1979. [22] M. Ghosh and H.-H. S. Lee. Smart refresh: An enhanced memory controller design for reducing energy in convetional and 3d die-stacked drams. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO ’07), pages 134–145, Chicago, Illinois, USA, December 2007. [23] C. J. Glass and L. M. Ni. The turn model for adaptive routing. ACM SIGARCH Computer Architecture News, 20(2):278–287, May 1992. [24] B. Goplen and S. Spatnekar. Efficient thermal placement of standard cells in 3d ics using a force directed approach. In Proceedings of the 2003 IEEE/ACM International Conference on Computer-Aided Design (ICCAD ’03), pages 86–89, San Jose, California, USA, November 2003. [25] B. Goplen and S. Spatnekar. Placement of 3d ics with thermal and interlayer via considerations. In Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC ’07), pages 626–631, San Diego, California, June 2007. [26] K. W. Guarini, A. W. Topol, M. Leong, R. Yu, L. Shi, M. R. Newport, D. J. Frank, D. V. Singh, G. M. Cohen, S. V. Nitta, D. C. Boyd, P. A. O’Neil, S. L. Tempest, H. B. Pogge, S. Purushothaman, and W. E. Haensch. Electrical integrity of state-of-theart 0.13 μm soi cmos devices and circuits transferred for three-dimensional (3d) integrated circuit (ic) fabrication. In Proceedings of the International Conference on Electron Devices Meeting (IEDM ’02), pages 943–945, December 2002. [27] A.-C. Hsieh, T.-T. Hwang, M.-T. Chang, M.-H. Tsai, C.-M. Tseng, and H.-C. Li. Tsv redundancy: Architecture and design issues in 3d ic. In Proceedings of 2010 Design, Automation and Test in Europe (DATE ’10), pages 166–171, Dresden, Germany, March 2010. [28] J. Hu and R. Marculescu. Energy-aware communication and task scheduling for network-on-chip architecture under real-time constraints. In Proceedings of the conference on Design, Automation, and Test in Europe (DATE ’04), page 10234, Paris, France, February 2004. [29] I. Issenin and N. Dutt. Data reuse driven memory and network-on-chip cosynthesis. In Proceedings of the International Embedded Systems Symposium (IESS ’09), pages 299–312, San Diego, California, USA, June 2007. [30] T. Kgil, S. D’Souza, A. Saidi, N. Binkert, R. Dreslinski, T. Mudge, S. Reinhardt, and K. Flautner. Picoserver: Using 3d stacking technology to enable a compact energy efficient chip multiprocessor. ACM SIGOPS Operating Systems Review, 40(5):117–128, 2006. [31] D. Kim, K. Kim, J.-Y. Kim, S.-J. Lee, and H.-J. Yoo. Solutions for real chip implementation issues of noc and their application to memory-centric noc. In Proceedings of the First International Symposium on Networks-on-Chip (NOCS ’07), pages 472–477, Princeton, New Jersey, USA, May 2007. [32] D. H. Kim, K. Athikulwongse, and S. K. Lim. A study of through-silicon-via impact on the 3d stacked ic layout. In Proceedings of the 2009 International Conference on Computer-Aided Design (ICCAD ’09), pages 674–680, San Jose, California, USA, November 2009. [33] S. Kim, C. Im, and S. Ha. Efficient exploration of on-chip bus architectures and memory allocation. In Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISS ’04), pages 248 – 253, Stockholm, Sweden, September 2006. [34] S. Kirkpatrick, C. D. Gelatt, and M. P. Vecchi. Optimization by simulated annealing. Science, 220(4598):671–680, May 1983. [35] S. Kumar, A. Jantsch, J.-P. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, and A. Hemani. A network on chip architecture and design methodology. In Proceedings of IEEE Computer Society Annual Symposium on VLSI (VLSI ’02), pages 105–112, Pittsburgh, Pennsylvania, USA, April 2002. [36] H.-H. S. Lee and K. Chakrabarty. Test challenges for 3d integrated circuits. IEEE Design and Test of Computers, 26(5):26–35, Sep./Oct. 2009. [37] F. Li, C. Nicopoulos, T. Richardson, and Y. Xie. Design and management of 3d chip multiprocessors using network-in-memory. ACM SIGARCH Computer Architecture News, 34(2):130–141, May 2006. [38] C. C. Liu, I. Ganusov, M. Burtscher, and S. Tiwari. Bridging the processormemory performance gap with 3d ic technology. IEEE Design and Test of Computers, 22(6):556–564, 2005. [39] G. H. Loh. 3d-stacked memory architectures for multi-core processors. In Proceedings of the 35th International Symposium on Computer Architecture (ISCA ’08), pages 453–464, Beijing, China, June 2008. [40] G. H. Loh, Y. Xie, and B. Black. Processor design in 3d die-stacking technologies. IEEE Micro Magzine, 22(6):556–564, 2007. [41] G. L. Loi, B. Agarwal, N. Srivastava, S.-C. Lin, and T. Sherwood. A thermallyawawre performance analysis of vertically integrated (3d) processor-memory hierarchy. In Proceedings of the 43rd Annual ACM IEEE Design Automation Conference (DAC ’06), pages 991 – 996, San Francisco, CA, USA, June 2006. [42] I. Loi and L. Benini. An efficient distributed memory interface for many-core platform with 3d stacked dram. In Proceedings of the conference on Design, Automation, and Test in Europe (DATE ’10), pages 99–104, Dresden, Germany, March 2010. [43] M. Lukasiewycz, M. Streub‥uhr, M. Glaß, and C. H. annd J. Teich. Combined system synthesiss and communication architecture exploration for mpsocs. In Proceedings of the conference on Design, Automation, and Test in Europe (DTAE ’09), pages 472–477, Nice, France, April 2009. [44] A. Marongiu, M. Ruggiero, and L. Benini. Efficient openmp data mapping for multicore platforms with vertically stacked memory. pages 105–110, Dresden, Germany, March 2010. [45] S. A. McKee. Reflections on the memory wall. In Proceedings of the 1st Conference On Computing Frontiers (CF ’04), pages 162 – 167, Ischia, Italy, 2004. [46] P. Mercier, S. Singh, K. Iniewski, B. Moore, and P. O’Shea. Yield and cost modeling for 3d chip stack technologies. In Proceedings of the IEEE 2006 Custom Intergrated Circuits Conference (CICC ’07), pages 357–360, San Jose, California, USA, September 2006. [47] N. Miyakawa. A 3d prototyping chip based on a wafer-level stacking technology. In Proceedings of Asia South Pacific Design Automation Conference (ASP-DAC ’09), pages 416–420, Yokohama, Japan, January 2009. [48] M. Monchiero, G. Palermo, C. Silvano, and O. Villa. Exploration of distributed shared memory architectures for noc-based multiprocessors. Jouranl of Systems Architecture, 53(10):719–732, October 2007. [49] G. Moore. Cramming more components onto integrated circuits. Electronics, 38(8), April 1965. [50] S. Murali, C. Seiculescu, L. Benini, and G. D. Micheli. Synthesis of networks on chips for 3d systems on chips. In Proceedings of the 2009 Asia and South Pacific Design Automation Conference (ASPDAC ’09), pages 242–247, Yokohama, Japan, January 2009. [51] P. R. Panda, N. D. Dutt, and A. Nicolau. On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems. ACM Transactions on Design Automation of Electronic Systems (TODAES), 5(3):682–704, 2000. [52] S. Pasricha and N. Dutt. Cosmeca: Application-specific co-synthesis of memory and communication architectures for mpsoc. In Proceeding of the conference on Design, Automation, and Test in Europe (DTAE ’06), pages 700–705, Munich, Germany, March 2006. [53] K. Puttaswamy and G. H. Loh. Implementing caches in a 3d technology for high performance processors. In Proceedings of the 2005 International Conference on Computer Design (ICCD ’05), pages 525–532, San Jose, California, USA, October 2005. [54] R. Reif, K.-N. Chen, and S. Das. Fabrication technologies for three-dimensional integrated circuits. In Proceedings of International Symposium on Quality Electronic Design (ISQED ’02), pages 33–37, San Jose, California, USA, March 2002. [55] B. Rogers, A. Krishna, G. Bell, K. Vu, X. Jiang, and Y. Solihin. Scaling the bandiwdth wall: Challenges in and avenues for cmp scaling. In Proceedings of the Internaitonal Symposium on Computer Architecture (ISCA ’09), pages 371–382, Austin, Texas, USA, June 2009. [56] C. Seiculescu, S. Murali, L. Benini, and G. D. Micheli. Sunfloor 3d: A tool for networks on chip topology synthesis for 3d systems on chips. In Proceedings of the Design, Automation and Test in Europe (DATE ’09), pages 9–14, Nice, France, April 2009. [57] M. Shen, L.-R. Zheng, and H. Tenhunen. Cost and performance analysis for mixed-signal system implementation: System-on-chip or system-on-package. IEEE Transactions on Electronics Packaging Manufacturing, 25(4):262–272, October 2002. [58] C. Sun, L. Shang, and R. P. Dick. Three-dimensional multiprocessor systemon-chip thermal optimization. In Proceedings of the 5th IEEE/ACM international conference on Hardware/Coftware Codesign and System Synthesis (CODES+ISSS ’08), pages 117–122, Salzburg, Austria, September/October 2008. [59] G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen. A novel architecture of the 3d stacked mram l2 cache for cmps. In Proceedings of the IEEE 15th International Symposium on High-Performance Computer Architecture (HPCA ’09), pages 239–249, Raleigh, North Carolina, USA, March 2009. [60] K. Takahashi, H. Terao, Y. Tomita, Y. Yamajo, M. Hoshino, T. S. and T. Morifuji, M. Sunohara, and M. Bonkohara. Current status of research and development for three-dimensional chip stack technology. The Japan Society of Applied Physics, 40(4B):3032–3037, 2001. [61] A. W. Topol, D. C. L. T. Jr., L. Shi, D. J. Frank, K. Bernstein, S. E. Steen, A. Kumar, G. U. Singco, A. M. Young, K. W. Guarini, and M. Ieong. Threedimensional integrated circuits. IBM Journal of Research and development, 50(4/5):491–506, Jul./Sep. 2006. [62] Y.-F. Tsai, Y. Xie, N. Vijaykrishnan, and M. J. Irwin. Three-dimensional cache design exploration using 3dcacti. In Proceedings of the 2005 International Conference on Computer Design (ICCD ’05), pages 519–524, San Jose, California, USA, October 2005. [63] S. Udayakumaran, A. Dominguez, and R. Barua. Dynamic allocation for scratch-pad memory using compiler-time decisions. ACM Transactions on Embedded Computing Systems (TECS), 5(2):472–511, 2006. [64] B. Vaidyanathan, W. Hung, F. Wang, Y. Xie, V. Narayanan, and M. J. Irwin. Architectinng microprocessor components in 3d design space. In Proceedings of the 20th International Conference on VLSI Design (VLSID ’07), pages 103–108, Bangalore, India, January 2007. [65] R. Weerasekera, M. Grange, D. Pamunuwa, H. Tenhunen, and L.-R. Zheng. Compact modeling of through-silicon vias (tsvs) in three-dimensional (3-d) integrated circuits. In Proceedings of the IEEE International Conference on 3D System Integration (3DIC ’09), pages 1–8, San Francisco, California, USA, September 2009. [66] R. Weerasekera, L.-R. Zheng, D. Pamunuwa, and H. Tenhunen. Extending systems-on-chip to the third dimension: Performance, cost and technological tradeoffs. In Proceedings of the 2007 International Conference on Computer-Aided Design (ICCAD ’07), pages 212–219, San Jose, California, USA, November 2007. [67] D. H. Woo, N. H. Seong, D. L. Lewis, and H.-H. S. Lee. An optimized 3dstacked memory architecture by exploiting excessive, high-density tsv bandwidth. In Proceedings of the IEEE 16th International Symposium on High-Performance Computer Architecture (HPCA ’10), pages 1–12, Bangalore, India, January 2010. [68] W. A. Wulf and S. A. McKee. Hitting the memory wall: implications of the obvious. ACM SIGARCH Computer Architecture News, 23(1):20–24, March 1995. [69] Y. Xie, G. H. Loh, B. Black, and K. Bernstein. Design space exploration for 3d architectures. ACM Journal of Emerging Technologies in Computing Systems (JETC), 2(2):65–103, 2006. [70] X. Zhou, Y. Xu, Y. Du, Y. Zhang, and J. Yang. Thermal management for 3d processors via task scheduling. In Proceedings of the 37th International Conference on Parallel Processing (ICPP ’08), pages 115–122, September 2008. | |
dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/10491 | - |
dc.description.abstract | 近年來由於製成技術的進步,使支援多個工作同時進行之多核心架構(Multi-core architecture)成為晶片設計主流。多核心架構因系統上有多個可獨立運做之運算單元(Processing Elements, PE),使其特別適用於有大量平行度之應用程式。但每個可獨立運做之PE也會同時發出記憶體存取之需求,進而對記憶體系統造成不小之壓力。因此在多核心架構晶片設計上,記憶體系統之設計對整體系統效能有相當重要的影響。因此,在本論文中,我們針對兩種不同的多核心系統晶片架構:(1) 以傳統二維方式連結處理器及動態存取記憶體(Dynamic Random Access Memory, DRAM)多核心系統晶片(Multi-Processor System-on-Chips (MPSoCs) with traditional 2D CPU-DRAM connection),及(2)以三維堆疊DRAM之多核心系統晶片(MPSoCs with stacked DRAMs),分別提出考量記憶體系統架構之系統合成方法。
在MPSoCs with traditional 2D CPU-DRAM connection架構方面,我們發現,為達最佳系統效能,系統晶片上之運算單元與記憶體模組之資源分配應針對所執行之應用程式,以避免記憶體系統成為系統效能之主要瓶頸。然而,傳統之多核心單晶片系統設計流程中,運算單元與記憶體模組之資源分配通常都分開獨立進行,因此無法考量到兩種資源之分配多寡對系統之影響。因此,在本論文中,我們針對此一問題提出第一個運算單元與記憶體系統資源共同合成之多核心單晶片系統設計流程(PE and Memory Co-Synthesis (PM-COSYN) for MPSoCs)。 在以三維堆疊技術實現之單晶片多核心系統方面,由於三維堆疊技術可利用穿矽通孔(Through-Silicon Vias, TSVs)所組成之垂直通道(Vertical Bus),使DRAM可與運算處理器晶片以三維堆疊的方式整合在同一晶片系統上,此外,TSV可高密度地擺放在晶片上進而提供大量記憶體頻寬(Memory Bandwidth)。因此有大量Memory Bandwidth需求的PE,可整合記憶體控制器(DRAM Memory Controller, DMC)於其上,使PE可透過近端整合之DMC與堆疊其上之DRAM 溝通。由此可見,MPSoCs with stacked DRAM中PE與DRAM之溝通介面為由多個DMC所組成之分散式介面(Distributed DRAM Interface)。然而,DMC所需之晶片資源相當多,如果PE可不近端整合DMC,其資源可以用來擴大PE之靜態存取記憶體(Static Random Access Memory, SRAM)之容量。此外,TSV雖可提供大量Memory Bandwidth,但TSV的製成除需額外之金錢花費外,更對晶片良率(Chip Yields)有負面的影響。因此,我們在本論文中,我們針對MPSoCs with stacked DRAMs,提出一套分散式記憶體系統介面合成方法(Distributed Memory Interface Synthesis)。此合成方法根據系統對記憶體系統進行存取的行為與需求,決定晶片上之記憶體控制器個數,以及每個記憶體控制器之Vertical Bus寬度,讓系統可維持在指定之效能需求下,使晶片上之TSV總數量最少化。 | zh_TW |
dc.description.abstract | Multi-core architecture is attractive to applications with significant parallelism since multiple processing elements (PEs) are put on a single die to support parallel execution. However, multi-core architecture also stresses the memory system with concurrent memory accesses from different PEs. With the number of cores on a chip increases, the main memory bandwidth requirement also grows. Therefore, it is important to have a memory-aware design when designing Multi-Processor System-on-Chips (MPSoCs). In this thesis, we propose memory-aware MPSoC synthesis methods for MPSoCs with two different architectures: (a) MPSoCs with the traditional 2-Dimensional (2D) CPU-DRAM connection, and (b) MPSoCs with 3-Dimensional (3D) stacked DRAMs. For MPSoCs with the traditional 2D CPU-DRAM connection, the main memory bandwidth is limited due to pin limitations. To maximize system performance, it is important to simultaneously consider the PE and on-chip memory architecture design with limited on-chip resource. That is, on one hand, we want to allocate as many PEs as possible to fully utilize the available task parallelism in the target applications, and on the other hand, we need to incorporate a significant amount of on-chip memory to alleviate memory bottleneck. However, in a traditional MPSoC design flow, memory and computation components are often considered independently. To tackle this problem, we develop the first PE and memory co-synthesis framework for MPSoCs with 2D CPU-DRAM connections. The goal of the algorithm is to simultaneously synthesize the allocation of PE and on-chip memory modules so that system performance is maximized subject to the resource constraint. In MPSoCs with stacked DRAMs, the 3D die-stacking technology utilizes Though-Silicon Vias (TSVs) to integrate processing cores and DRAMs on the same chip. Moreover, the TSVs that can be placed densely provide high DRAM bandwidth for the system. Therefore, to utilize the high DRAM bandwdith, each PE can have a local DRAM memory controller (DMC) so that it can directly access the DRAM module stacked on top of the PE. This forms a distributed memory interface for CPU-DRAM connection in MPSoCs with stacked DRAMs. However, a DMC occupies a significant share of transistor budget, which can be traded for enlarging the capacity of high speed local SRAM. Moreover, TSVs need extra manufacturing cost and have adverse impact on chip yields. Therefore, the distributed memory interface, including the number of allocated DMCs and vertical bus width of each DMC, should be designed carefully. To tackle this problem, in this thesis, we propose the first algorithm to synthesize the DMC allocation and vertical bus allocation for MPSoCs with stacked DRAMs. The goal of the proposed algorithm is to find a proper distributed memory interface design for the given task set so that the total number of TSVs in the system is minimized while the user-defined performance constraint is met. | en |
dc.description.provenance | Made available in DSpace on 2021-05-20T21:33:47Z (GMT). No. of bitstreams: 1 ntu-99-D91922015-1.pdf: 863362 bytes, checksum: 181458d2b027b4b724d746914722b516 (MD5) Previous issue date: 2010 | en |
dc.description.tableofcontents | Abstract i
List of Tables v List of Figures vi Chapter 1. Introduction 1 1.1 PE and Memory Co-Synthesis for MPSoCs with Traditional 2D CPUDRAM connection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 1.2 Memory System Synthesis for MPSoCs with 3D Integration . . . . . . . . 5 1.3 Organization of the Thesis . . . . . . . . . . . . . . . . . . . . . . . . . . 6 Chapter 2. Related Works 7 2.1 PE and Memory System Design for Traditional 2D MPSoCs . . . . . . . 7 2.2 SystemDesign for 3D ICs . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.2.1 Architecture Design with 3D Technologies . . . . . . . . . . . . . . 9 2.2.2 Costmodeling of 3D technologies . . . . . . . . . . . . . . . . . . . 11 2.2.3 Thermal issues of 3D ICs . . . . . . . . . . . . . . . . . . . . . . . 12 Chapter 3. PE and Memory Co-Synthesis for 2D MPSoCs 14 3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 3.2 SystemModel and ProblemFormulation . . . . . . . . . . . . . . . . . . 16 3.2.1 SystemModel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 3.2.2 ProblemFormulation . . . . . . . . . . . . . . . . . . . . . . . . . 18 3.3 PM-COSYN for MPSoCs with 2D CPU-DRAM Connection . . . . . . . . 18 3.3.1 PE&Memory Allocation . . . . . . . . . . . . . . . . . . . . . . . . 20 3.3.2 Memory Reduction Process . . . . . . . . . . . . . . . . . . . . . . 24 3.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 3.4.1 Experimental Setup . . . . . . . . . . . . . . . . . . . . . . . . . . 24 3.4.2 Analysis of PM-COSYN . . . . . . . . . . . . . . . . . . . . . . . . 26 3.4.3 Comparison with Simulated-Annealing Optimizer . . . . . . . . . . 31 3.4.4 Scalability Issue . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 Chapter 4. Distributed Memory Interface Synthesis for MPSoCs with Stacked DRAM 33 4.1 Introduction andMotivation . . . . . . . . . . . . . . . . . . . . . . . . . 33 4.2 Overview of 3D Integration . . . . . . . . . . . . . . . . . . . . . . . . . . 38 4.3 System Specifications and Problem Formulation . . . . . . . . . . . . . . 39 4.3.1 SystemModel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 4.3.2 ProblemFormulation . . . . . . . . . . . . . . . . . . . . . . . . . 40 4.4 Memory System Synthesis Algorithm for MPSoCs with Stacked DRAM . 43 4.5 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 4.5.1 Experimental Setup . . . . . . . . . . . . . . . . . . . . . . . . . . 46 4.5.2 Analysis of Experimental Results . . . . . . . . . . . . . . . . . . . 49 Chapter 5. Concluding Remarks and Future Work 54 5.1 PE and Memory Co-Synthesis for Traditional 2D NoCs . . . . . . . . . . 54 5.2 Memory System Design for MPSoCs with Stacked DRAM . . . . . . . . . 55 Bibliography 56 Publication List 64 | |
dc.language.iso | en | |
dc.title | 多核心系統晶片之系統合成方法 | zh_TW |
dc.title | System Synthesis for Multi-Processor System-on-Chips | en |
dc.type | Thesis | |
dc.date.schoolyear | 98-2 | |
dc.description.degree | 博士 | |
dc.contributor.oralexamcommittee | 黃婷婷,施吉昇,陳雅淑,吳晉賢 | |
dc.subject.keyword | 運算單元,記憶體系統,多核心單晶片系統,合成,三維堆疊,分散式記憶體系統介面, | zh_TW |
dc.subject.keyword | Processing Elements,Memory Subsystem,Multi-Processor System-on-Chip,Synthesis,3-Dimensional Integration,Distributed Memory Interface, | en |
dc.relation.page | 66 | |
dc.rights.note | 同意授權(全球公開) | |
dc.date.accepted | 2010-08-18 | |
dc.contributor.author-college | 電機資訊學院 | zh_TW |
dc.contributor.author-dept | 資訊工程學研究所 | zh_TW |
顯示於系所單位: | 資訊工程學系 |
文件中的檔案:
檔案 | 大小 | 格式 | |
---|---|---|---|
ntu-99-1.pdf | 843.13 kB | Adobe PDF | 檢視/開啟 |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。