Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
    • 指導教授
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 重點科技研究學院
  3. 積體電路設計與自動化學位學程
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/99732
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor江介宏zh_TW
dc.contributor.advisorJie-Hong Roland Jiangen
dc.contributor.author陳均豪zh_TW
dc.contributor.authorJiun-Hao Chenen
dc.date.accessioned2025-09-17T16:30:58Z-
dc.date.available2025-09-18-
dc.date.copyright2025-09-17-
dc.date.issued2025-
dc.date.submitted2025-08-06-
dc.identifier.citation[1] Rijndael s-box. https://en.wikipedia.org/wiki/Rijndael_S-box.
[2] IWLS Programming Contest, 2020–2025.
[3] M. Al Hamad and A. M. Zeki. Accuracy vs. cost in decision trees: A survey. In Proceeding of the International Conference on Innovation and Intelligence for Informatics, Computing, and Technologies, pages 1–4, 2018.
[4] P. Bjesse and A. Boralv. DAG-aware circuit compression for formal verification. In Proceedings of the International Conference on Computer-Aided Design, pages 42–49. IEEE, 2004.
[5] A. M. R. Brayton and A. Mishchenko. Scalable logic synthesis using a simple circuit structure. In Proceedings of the International Workshop on Logic & Synthesis, volume 6, pages 15–22, 2006.
[6] R. Brayton and A. Mishchenko. ABC: An academic industrial-strength verification tool. In Proceedings of the International Conference on Computer-Aided Verification, pages 24–40, 2010.
[7] R. K. Brayton. The decomposition and factorization of Boolean expressions. In Proceedings of the International Symposium on Circuits and Systems, pages 49–54, 1982.
[8] R. K. Brayton, G. D. Hachtel, and A. L. Sangiovanni-Vincentelli. Multilevel logic synthesis. Proceedings of the IEEE, 78(2):264–300, 1990.
[9] Bryant. Graph-Based algorithms for Boolean function manipulation. IEEE Transactions on Computers, C-35(8):677–691, 1986.
[10] A. T. Calvino, A. Mishchenko, G. D. Micheli, and R. Brayton. Practical Boolean decomposition for delay-driven lut mapping. In Proceedings of the International Workshop on Logic & Synthesis, 2024.
[11] C.-W. Chang and M. Marek-Sadowska. Single-pass redundancy-addition-and-removal. In Proceedings of the International Conference on Computer-Aided Design, pages 606–609, 2001.
[12] J.-H. Chen and J.-H. R. Jiang. Circuit learning for multi-output Boolean functions. In Proceedings of the International Workshop on Logic & Synthesis, 2025.
[13] J.-H. Chen, J.-H. R. Jiang, and A. Mishchenko. Versatile rewiring and concurrent resynthesis for high-quality customized optimization. In Proceedings of the International Conference on Computer-Aided Design, 2025. To appear.
[14] P.-W. Chen, Y.-C. Huang, C.-L. Lee, and J.-H. R. Jiang. Circuit learning for logic regression on high dimensional Boolean space. In Proceeding of the Design Automation Conference, pages 1–6, 2020.
[15] C.-H. Chou, C.-J. J. Hsu, C.-A. R. Wu, and K.-H. Tu. 2022 CAD contest problem a: Learning arithmetic operations from gate-level circuit. In Proceeding of the International Conference On Computer Aided Design, pages 1–4, 2022.
[16] L. T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, and G. Yeric. ASAP7: A 7-nm finFET predictive process design kit. Microelectronics Journal, 53:105–115, 2016.
[17] C.-Y. Huang, C.-A. R. Wu, T.-Y. Lee, C.-J. J. Hsu, and K.-Y. Khoo. 2019 CAD contest: Logic regression on high dimensional Boolean space. In Proceeding of the International Conference on Computer-Aided Design, pages 1–6, 2019.
[18] Y.-S. Huang and J.-H. R. Jiang. Circuit learning: From decision trees to decision graphs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42(11):3985–3996, 2023.
[19] J.-H. Jiang, J.-Y. Jou, and J.-D. Huang. Unified functional decomposition via encoding for FPGA technology mapping. IEEE Transactions on Very Large Scale Integration Systems, 9(2):251–260, 2001.
[20] G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar. Multilevel hypergraph partitioning: applications in vlsi domain. IEEE Transactions on Very Large Scale Integration Systems, 7(1):69–79, 1999.
[21] K. Keutzer. DAGON: Technology binding and local optimization by DAG matching. In Proceedings of the Design Automation Conference, pages 341–347, 1987.
[22] V. N. Kravets and K. A. Sakallah. Resynthesis of multi-level circuits under tight constraints using symbolic optimization. In Proceedings of the International Conference on Computer-Aided Design, pages 687–693, 2002.
[23] Y. Kukimoto, R. Brayton, and P. Sawkar. Delay-optimal technology mapping by DAG covering. In Proceedings of the Design and Automation Conference, pages 348–351, 1998.
[24] C. Y. Lee. Representation of switching circuits by binary-decision programs. The Bell System Technical Journal, 38(4):985–999, 1959.
[25] H.-P. Lin, J.-H. R. Jiang, and R.-R. Lee. To SAT or not to SAT: Ashenhurst decomposition in a large scale. In Proceeding of the International Conference on Computer-Aided Design, pages 32–37. IEEE, 2008.
[26] A. Mishchenko, R. Brayton, J.-H. R. Jiang, and S. Jang. Scalable don’t-care-based logic optimization and resynthesis. ACM Transactions on Reconfigurable Technology and Systems, 4(4), 2011.
[27] A. Mishchenko, S. Chatterjee, and R. Brayton. DAG-aware AIG rewriting: a fresh look at combinational logic synthesis. In Proceedings of the Design Automation Conference, pages 532–535, 2006.
[28] A. Mishchenko, S. Cho, S. Chatterjee, and R. Brayton. Combinational and sequential mapping with priority cuts. In Proceedings of the International Conference on Computer-Aided Design, pages 354–361, 2007.
[29] Y. Miyasaka. Transduction method for AIG minimization. In Proceedings of the Asia and South Pacific Design Automation Conference, pages 398–403, 2024.
[30] Y. Miyasaka, A. Mishchenko, J. Wawrzynek, and N. J. Fraser. Synthesizing a class of practical boolean functions using truth tables. In Proceedings of the International Workshop on Logic & Synthesis, 2022.
[31] Y. Miyasaka, A. Mishchenko, J. Wawrzynek, D. Ruic, and X. Xu. Randomized transduction for high-effort logic synthesis. In Proceedings of the International Workshop on Logic & Synthesis, 2024.
[32] H. Nakahara and T. Sasao. A deep convolutional neural network based on nested residue number system. In Proceedings of the International Conference on Field Programmable Logic and Applications, pages 1–6. IEEE, 2015.
[33] R. O’Donnell. Analysis of Boolean functions. Cambridge University Press, 2014.
[34] J. R. Quinlan. C4. 5: programs for machine learning. Elsevier, 2014.
[35] S. Rai, W. L. Neto, Y. Miyasaka, X. Zhang, M. Yu, Q. Yi, M. Fujita, G. B. Manske, M. F. Pontes, L. S. da Rosa, M. S. de Aguiar, P. F. Butzen, P.-C. Chien, Y.-S. Huang, H.-R. Wang, J.-H. R. Jiang, J. Gu, Z. Zhao, Z. Jiang, D. Z. Pan, B. A. de Abreu, I. de Souza Campos, A. Berndt, C. Meinhardt, J. T. Carvalho, M. Grellert, S. Bampi, A. Lohana, A. Kumar, W. Zeng, A. Davoodi, R. O. Topaloglu, Y. Zhou, J. Dotzel, Y. Zhang, H. Wang, Z. Zhang, V. Tenace, P.-E. Gaillardon, A. Mishchenko, and S. Chatterjee. Logic synthesis meets machine learning: Trading exactness for generalization. In Proceeding of the Design Automation & Test in Europe Conference & Exhibition, pages 1026–1031, 2021.
[36] H. Riener, S.-Y. Lee, A. Mishchenko, and G. De Micheli. Boolean rewriting strikes back: Reconvergence-driven windowing meets resynthesis. In Proceedings of the Asia and South Pacific Design Automation Conference, pages 395–402, 2022.
[37] R. Rudell. Dynamic variable ordering for ordered binary decision diagrams. In Proceedings of the International Conference on Computer-Aided Design, pages 42–47, 1993.
[38] M. Soeken, H. Riener, W. Haaswijk, E. Testa, B. Schmitt, G. Meuli, F. Mozafari, S.-Y. Lee, A. T. Calvino, D. S. Marakkalage, and G. D. Micheli. The epfl logic synthesis libraries, 2022.
[39] Y. Umuroglu, Y. Akhauri, N. J. Fraser, and M. Blott. LogicNets: Co-designed neural networks and circuits for extreme-throughput applications. In Proceedings of the International Conference on Field-Programmable Logic and Applications (FPL), pages 291–297. IEEE, 2020.
[40] C. Wolf. Yosys open SYnthesis suite. https://yosyshq.net/yosys/.
[41] W. Yang, L. Wang, and A. Mishchenko. Lazy man’s logic synthesis. In Proceedingsof the International Conference on Computer-Aided Design, pages 597–604, 2012.
-
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/99732-
dc.description.abstract現代硬體設計日益複雜,加上矽晶圓製造成本的上升,使得對高品質邏輯合成的需求更加迫切。特別是在高階合成所產生的大型電路,以及硬體加速器中重複與再利用元件的普遍存在的情境下,這些都在緊迫的產品上市時程限制下帶來重大的最佳化挑戰。因此,為了滿足多樣化的設計目標,從高效能運算中的需要強力的時序最佳化,到邊緣裝置中的極低功耗需求,如此多樣的設計考量凸顯出能夠依應用特性彈性調整的高品質客製化最佳化技術的需求。
傳統的邏輯合成方法通常以單輸出函數為操作對象,限制了在多輸出電路中共享邏輯的能力,導致最佳化品質不佳。為了克服此限制,本論文提出一套新的電路學習框架 dg,其目標為直接處理多輸出布林函數。該框架建構於 ABC 合成平台之上,結合了函數分解與基於函數頻譜分析的電路複雜度的成本函數,以引導決策樹與決策圖的學習。實驗結果顯示,在 IWLS Contest 基準測試中,相較於 ABC 的基準方法與現有最先進技術,本方法在不增加邏輯層級的情況下,電路可以獲得 21.9% 的面積優化。
除了上述貢獻,本論文進一步提出一種多功能的重繞技術 rewire,該技術能在使用者定義的成本指標下探索功能等價的電路變體。為了解決高強度重繞在可擴展性上的限制,本論文開發了一套並行重合成框架 stochmap,藉由隨機切割與反覆應用於子電路上的重新接線,實現更高的可擴展性。綜合這些方法,在實用電路的各項指標上取得顯著進展,包括電晶體數量減少 21.24%,以及技術映射後面積減少 6.32%。
本論文所提出的方法已於 2025 年 IWLS 程式設計競賽中通過驗證,並獲得第一名,表現優於所有其他參賽者。這些貢獻展現了在邏輯合成領域中,邁向更具彈性、高品質的重要進展。
zh_TW
dc.description.abstractThe increasing complexity of modern hardware designs and the rising cost of silicon fabrication have significantly intensified the demand for high-quality logic synthesis. In particular, the widespread adoption of high-level synthesis for large-scale circuit generation and the prevalence of duplicated and reused components in hardware accelerators introduce substantial optimization challenges under tight time-to-market constraints.
To meet these challenges, various design factors must be considered. Design objectives vary widely, from aggressive timing optimization in high-performance computing systems to ultra-low power consumption in edge devices. This diversity underscores the need for high-quality, customized optimization techniques that can be flexibly tailored to meet application-specific requirements.
Traditional logic synthesis approaches often operate on single-output functions, which limits their capacity to exploit logic sharing across outputs and results in suboptimal optimization quality for multi-output circuits. To overcome this limitation, this thesis proposes a novel circuit learning framework, dg, that directly targets multi-output Boolean functions. Built upon the ABC synthesis platform, this framework integrates functional decomposition and circuit-complexity-aware cost functions based on spectral analysis to guide decision tree and decision graph learning. Experimental results on IWLS Contest benchmarks demonstrate up to 21.9% improvement in circuit size reduction over both the ABC baselines and the state-of-the-art methods, without incurring logic level degradation.
Complementing this contribution, the thesis further introduces a versatile rewiring technique, rewire, which explores functionally equivalent variants of a given circuit under user-defined cost metrics. To address the scalability limitations of high-effort rewiring, a concurrent resynthesis framework, stochmap, is developed to enable scalable deployment through stochastic partitioning and iterative application of rewiring on support-limited subcircuits. Together, these frameworks achieve notable improvements in practical metrics, including a 21.24% reduction in transistor count and a 6.32\% reduction in post-mapping area.
The proposed methods were validated in the 2025 IWLS Programming Contest and achieved first place, outperforming all other participants. These contributions mark significant progress toward flexible and high-quality logic synthesis solutions.
en
dc.description.provenanceSubmitted by admin ntu (admin@lib.ntu.edu.tw) on 2025-09-17T16:30:58Z
No. of bitstreams: 0
en
dc.description.provenanceMade available in DSpace on 2025-09-17T16:30:58Z (GMT). No. of bitstreams: 0en
dc.description.tableofcontentsVerification Letter from the Oral Examination Committee i
Acknowledgements iii
摘要 v
Abstract vii
Contents xi
List of Figures xv
List of Tables xvii
Chapter 1 Introduction 1
Chapter 2 Preliminaries 5
2.1 Functional decomposition 5
2.2 Spectral analysis of Boolean functions 6
2.3 Decision trees and graphs 9
2.4 Technology-independent synthesis 11
2.5 Redundancy addition and removal 13
2.6 Technology mapping 17
Chapter 3 A Multi-output Boolean Functions Circuit Learning Framework 19
3.1 Multi-output Boolean functions circuit learning problem 19
3.2 The drawbacks of the previous state-of-the-art work 20
3.3 Methods of the multi-output Boolean functions circuit learning 21
3.4 Support set grouping 24
3.5 Functional decomposition 25
3.6 Entropy-Fourier analysis 29
3.7 Decision graph construction 33
3.8 Experimental results 34
Chapter 4 A Versatile AIG Rewiring and Concurrent Resynthesis Framework 39
4.1 Customized objective optimization problem 39
4.2 The limitations of the state-of-the-art flow 40
4.3 Methods of customized objective optimization 41
4.4 Versatile AIG rewiring 43
4.4.1 Data structure 44
4.4.2 Redundancy addition 44
4.4.3 Logic sharing 48
4.4.4 Redundancy removal 48
4.4.5 RAR script 50
4.4.6 Versatile rewiring script 51
4.4.7 Compare to the state-of-the-art flow 52
4.5 Concurrent resynthesis framework 53
4.5.1 Stochastic partitioning 53
4.5.2 Resynthesis framework 53
4.6 Experimental results 55
4.6.1 Performance of transistor minimization 56
4.6.2 Performance of area minimization 60
4.6.3 Performance of concurrency 61
Chapter 5 Evaluation of the proposed frameworks 67
5.1 Experiment setup 67
5.2 Optimization flow 69
5.2.1 Initial AIG construction 70
5.2.2 AIG optimization 72
5.3 Experimental results 76
5.4 Extended experiment 77
Chapter 6 Conclusion and Future Work 79
References 81
-
dc.language.isoen-
dc.subject邏輯合成zh_TW
dc.subject多輸出布林函數zh_TW
dc.subject電路學習zh_TW
dc.subject決策圖zh_TW
dc.subject重繞zh_TW
dc.subject重合成zh_TW
dc.subjectmulti-output Boolean functionsen
dc.subjectLogic synthesisen
dc.subjectresynthesisen
dc.subjectrewiringen
dc.subjectdecision graphen
dc.subjectcircuit learningen
dc.title可客製化優化目標之高品質邏輯重繞與再合成技術zh_TW
dc.titleVersatile Rewiring and Concurrent Resynthesis for High-Quality Customized Optimizationen
dc.typeThesis-
dc.date.schoolyear113-2-
dc.description.degree碩士-
dc.contributor.oralexamcommittee黃鐘揚;李炯霆;Alan Mishchenkozh_TW
dc.contributor.oralexamcommitteeChung-Yang Huang;Jeong-Tyng Li;Alan Mishchenkoen
dc.subject.keyword邏輯合成,多輸出布林函數,電路學習,決策圖,重繞,重合成,zh_TW
dc.subject.keywordLogic synthesis,multi-output Boolean functions,circuit learning,decision graph,rewiring,resynthesis,en
dc.relation.page86-
dc.identifier.doi10.6342/NTU202503550-
dc.rights.note同意授權(全球公開)-
dc.date.accepted2025-08-10-
dc.contributor.author-college重點科技研究學院-
dc.contributor.author-dept積體電路設計與自動化學位學程-
dc.date.embargo-lift2028-12-31-
顯示於系所單位:積體電路設計與自動化學位學程

文件中的檔案:
檔案 大小格式 
ntu-113-2.pdf
  此日期後於網路公開 2028-12-31
25.46 MBAdobe PDF
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved