Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
    • 指導教授
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 電機資訊學院
  3. 電子工程學研究所
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/99694
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor劉致為zh_TW
dc.contributor.advisorChee-Wee Liuen
dc.contributor.author楊仁葳zh_TW
dc.contributor.authorJen-Wei Yangen
dc.date.accessioned2025-09-17T16:23:58Z-
dc.date.available2025-09-18-
dc.date.copyright2025-09-17-
dc.date.issued2025-
dc.date.submitted2025-08-04-
dc.identifier.citation[1] R. R. Schaller, "Moore's law: past, present and future," in IEEE Spectrum, vol. 34, no. 6, pp. 52-59, June 1997, doi: 10.1109/6.591665.
[2] M. T. Bohr and I. A. Young, "CMOS Scaling Trends and Beyond," in IEEE Micro, vol. 37, no. 6, pp. 20-29, November/December 2017, doi: 10.1109/MM.2017.4241347.
[3] H. Iwai, “Roadmap for 22nm and beyond” (Invited Paper), Microelectronic Engineering, Volume 86, Issues 7–9, 2009, Pages 1520-1528, ISSN 0167-9317, doi: 10.1016/j.mee.2009.03.129.
[4] Shekhar Borkar, “Design perspectives on 22nm CMOS and beyond”, in Proceedings of the 46th Annual Design Automation Conference (DAC '09). Association for Computing Machinery, 2009, New York, NY, USA, 93–94, doi: 10.1145/1629911.1629940
[5] A. Razavieh, P. Zeitzoff and E. J. Nowak, "Challenges and Limitations of CMOS Scaling for FinFET and Beyond Architectures," in IEEE Transactions on Nanotechnology, vol. 18, pp. 999-1004, 2019, doi: 10.1109/TNANO.2019.2942456.
[6] Shubo Zhang, “Review of Modern Field Effect Transistor Technologies for Scaling”, Journal of Physics: Conference Series, Volume 1617, 2nd International Conference on Electronic Engineering and Informatics 17-19 July 2020, Lanzhou, China, doi: 10.1088/1742-6596/1617/1/012054.
[7] Khanna, Vinod Kumar, “Short-Channel Effects in MOSFETs”, in: Integrated Nanoelectronics: Nanoscale CMOS, Post-CMOS and Allied Nanotechnologies, 2016, Springer, New Delhi, doi: 10.1007/978-81-322-3625-2_5.
[8] Vinay Vashishtha, Lawrence T. Clark, “Comparing bulk-Si FinFET and gate-all-around FETs for the 5 nm technology node”, Microelectronics Journal, Volume 107, 2021, 104942, ISSN 1879-2391, doi: 10.1016/j.mejo.2020.104942.
[9] Xuejue Huang et al., "Sub-50 nm P-channel FinFET," in IEEE Transactions on Electron Devices, vol. 48, no. 5, pp. 880-886, May 2001, doi: 10.1109/16.918235.
[10] B. Doyle et al., "Tri-Gate fully-depleted CMOS transistors: fabrication, design and layout," 2003 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.03CH37407), Kyoto, Japan, 2003, pp. 133-134, doi: 10.1109/VLSIT.2003.1221121.
[11] R. R. Das, T. R. Rajalekshmi and A. James, "FinFET to GAA MBCFET: A Review and Insights," in IEEE Access, vol. 12, pp. 50556-50577, 2024, doi: 10.1109/ACCESS.2024.3384428.
[12] Jae Young Song, Woo Young Choi, Ju Hee Park, Jong Duk Lee and Byung-Gook Park, "Design optimization of gate-all-around (GAA) MOSFETs," in IEEE Transactions on Nanotechnology, vol. 5, no. 3, pp. 186-191, May 2006, doi: 10.1109/TNANO.2006.869952.
[13] A. Mocuta, P. Weckx, S. Demuynck, D. Radisic, Y. Oniki and J. Ryckaert, "Enabling CMOS Scaling Towards 3nm and Beyond," 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2018, pp. 147-148, doi: 10.1109/VLSIT.2018.8510683.
[14] S. -G. Jung, D. Jang, S. -J. Min, E. Park and H. -Y. Yu, "Performance Analysis on Complementary FET (CFET) Relative to Standard CMOS With Nanosheet FET," in IEEE Journal of the Electron Devices Society, vol. 10, pp. 78-82, 2022, doi: 10.1109/JEDS.2021.3136605.
[15] P. -J. Sung et al., "Fabrication of Vertically Stacked Nanosheet Junctionless Field-Effect Transistors and Applications for the CMOS and CFET Inverters," in IEEE Transactions on Electron Devices, vol. 67, no. 9, pp. 3504-3509, Sept. 2020, doi: 10.1109/TED.2020.3007134.
[16] J. Ryckaert et al., "The Complementary FET (CFET) for CMOS scaling beyond N3," 2018 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 2018, pp. 141-142, doi: 10.1109/VLSIT.2018.8510618.
[17] E. Park and T. Song, "Complementary FET (CFET) Standard Cell Design for Low Parasitics and Its Impact on VLSI Prediction at 3-nm Process," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 31, no. 2, pp. 177-187, Feb. 2023, doi: 10.1109/TVLSI.2022.3220339.
[18] P. Tang, R. Ding, X. Zhu and S. Yu, "Investigation of Common-Gate and Split-Gate Structures Based on CFET Standard Cells," 2024 IEEE 17th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), Zhuhai, China, 2024, pp. 1-3, doi: 10.1109/ICSICT62049.2024.10831742.
[19] H. Dağ and M. Alamin, "Power consumption estimation using in-memory database computation," 2016 HONET-ICT, Nicosia, Cyprus, 2016, pp. 164-169, doi: 10.1109/HONET.2016.7753443.
[20] Manegold, S., “Memory Hierarchy”, in: LIU, L., ÖZSU, M.T. (eds) Encyclopedia of Database Systems, 2009, Springer, Boston, MA, doi: 10.1007/978-0-387-39940-9_657.
[21] D. Burnett, S. Parihar, H. Ramamurthy and S. Balasubramanian, "FinFET SRAM design challenges," 2014 IEEE International Conference on IC Design & Technology, Austin, TX, USA, 2014, pp. 1-4, doi: 10.1109/ICICDT.2014.6838606.
[22] C. Jose and M. G, "Comparative Study and Analysis of Memristor Based SRAM," 2024 1st International Conference on Trends in Engineering Systems and Technologies (ICTEST), Kochi, India, 2024, pp. 01-05, doi: 10.1109/ICTEST60614.2024.10576137.
[23] C. -J. Jhang, C. -X. Xue, J. -M. Hung, F. -C. Chang and M. -F. Chang, "Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 68, no. 5, pp. 1773-1786, May 2021, doi: 10.1109/TCSI.2021.3064189.
[24] Y. -D. Chih et al., "16.4 An 89TOPS/W and 16.3TOPS/mm2 All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications," 2021 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2021, pp. 252-254, doi: 10.1109/ISSCC42613.2021.9365766.
[25] S. Yu, H. Jiang, S. Huang, X. Peng and A. Lu, "Compute-in-Memory Chips for Deep Learning: Recent Trends and Prospects," in IEEE Circuits and Systems Magazine, vol. 21, no. 3, pp. 31-56, thirdquarter 2021, doi: 10.1109/MCAS.2021.3092533.
[26] H. Fujiwara et al., "34.4 A 3nm, 32.5TOPS/W, 55.0TOPS/mm2 and 3.78Mb/mm2 Fully-Digital Compute-in-Memory Macro Supporting INT12 × INT12 with a Parallel-MAC Architecture and Foundry 6T-SRAM Bit Cell," 2024 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2024, pp. 572-574, doi: 10.1109/ISSCC49657.2024.10454556.
[27] H. Mori et al., "A 4nm 6163-TOPS/W/b 4790−TOPS/mm2/b SRAM Based Digital-Computing-in-Memory Macro Supporting Bit-Width Flexibility and Simultaneous MAC and Weight Update," 2023 IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA, 2023, pp. 132-134, doi: 10.1109/ISSCC42615.2023.10067555.
[28] M. Shamanna et al., "E-Core Implementation in Intel 4 with PowerVia (Backside Power) Technology," 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023, pp. 1-2, doi: 10.23919/VLSITechnologyandCir57934.2023.10185369.
[29] S. Liao et al., "Complementary Field-Effect Transistor (CFET) Demonstration at 48nm Gate Pitch for Future Logic Technology Scaling," 2023 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2023, pp. 1-4, doi: 10.1109/IEDM45741.2023.10413672.
[30] T. Chou et al., "SRAM With Oxide Semiconductor Pull-Down Transistors on the Backside Enabling Full-Node PPA Improvement," in IEEE Electron Device Letters, vol. 46, no. 1, pp. 48-51, Jan. 2025, doi: 10.1109/LED.2024.3498840.
[31] T. Chou, L. -K. Wang, T. -Y. Chung, C. -W. Yao, H. -C. Lin and C. W. Liu, "3D SRAM Using Ultrathin Body Nanosheets and Bitline Signal Decoupling," in IEEE Electron Device Letters, vol. 44, no. 12, pp. 1975-1978, Dec. 2023, doi: 10.1109/LED.2023.3329485.
[32] C. -W. Yao et al., "Intrinsic Gate Capacitance of Ultrathin Body Nanosheets Considering Quantum Effects," in IEEE Transactions on Electron Devices, vol. 71, no. 4, pp. 2271-2277, April 2024, doi: 10.1109/TED.2024.3364584.
[33] D. J. Frank, Y. Taur and H. . -S. P. Wong, "Generalized scale length for two-dimensional effects in MOSFETs," in IEEE Electron Device Letters, vol. 19, no. 10, pp. 385-387, Oct. 1998, doi: 10.1109/55.720194.
[34] Shiyang Zhu et al., "Germanium pMOSFETs with Schottky-barrier germanide S/D, high-/spl kappa/ gate dielectric and metal gate," in IEEE Electron Device Letters, vol. 26, no. 2, pp. 81-83, Feb. 2005, doi: 10.1109/LED.2004.841462.
[35] G. Yeap et al., "2nm Platform Technology Featuring Energy-Efficient Nanosheet Transistors and Interconnects Co-Optimized with 3DIC for AI, HPC and Mobile SoC Applications," 2024 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2024, pp. 1-4, doi: 10.1109/IEDM50854.2024.10873475.
[36] Y. H. Chen et al., "A 0.6 V Dual-Rail Compiler SRAM Design on 45 nm CMOS Technology With Adaptive SRAM Power for Lower VDD_min VLSIs," in IEEE Journal of Solid-State Circuits, vol. 44, no. 4, pp. 1209-1215, April 2009, doi: 10.1109/JSSC.2009.2014208.
[37] T. H. Kim, H. Jeong, J. Park, H. Kim, T. Song and S. -O. Jung, "An Embedded Level-Shifting Dual-Rail SRAM for High-Speed and Low-Power Cache," in IEEE Access, vol. 8, pp. 187126-187139, 2020, doi: 10.1109/ACCESS.2020.3030099.
[38] G. Jedhe et al., "A 12nm 137 TOPS/W Digital Compute-In-Memory using Foundry 8T SRAM Bitcell supporting 16 Kernel Weight Sets for AI Edge Applications," 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), Kyoto, Japan, 2023, pp. 1-2, doi: 10.23919/VLSITechnologyandCir57934.2023.10185253.
[39] D. Vaithiyanathan, S. M. Sonar, J. B. Parri, K. Mariammal and K. Kunaraj, "Performance Analysis of Full Adder Circuit using Conventional and Hybrid Techniques," 2021 IEEE Madras Section Conference (MASCON), Chennai, India, 2021, pp. 1-7, doi: 10.1109/MASCON51689.2021.9563407.
[40] Johansson, K., Gustafsson, O., Wanhammar, L., “Power Estimation for Ripple-Carry Adders with Correlated Input Data”, in: Macii, E., Paliouras, V., Koufopavlou, O. (eds) Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 2004, Springer, Berlin, Heidelberg, doi: 10.1007/978-3-540-30205-6_68.
[41] Vallabhuni Vijay, “A Review On N-Bit Ripple-Carry Adder, Carry-Select Adder And Carry-Skip Adder”, Journal of VLSI Circuits and Systems, vol. 4, no. 01, pp. 27–32, Mar. 2022.
[42] J. Kedzierski et al., "Extension and source/drain design for high-performance FinFET devices," in IEEE Transactions on Electron Devices, vol. 50, no. 4, pp. 952-958, April 2003, doi: 10.1109/TED.2003.811412.
[43] J. -S. Yoon et al., "Source/Drain Patterning FinFETs as Solution for Physical Area Scaling Toward 5-nm Node," in IEEE Access, vol. 7, pp. 172290-172295, 2019, doi: 10.1109/ACCESS.2019.2956503.
[44] Bing-Yue Tsui and C. . -P. Lin, "Process and characteristics of modified Schottky barrier (MSB) p-channel FinFETs," in IEEE Transactions on Electron Devices, vol. 52, no. 11, pp. 2455-2462, Nov. 2005, doi: 10.1109/TED.2005.857178.
[45] C. R. Manoj, M. Nagpal, D. Varghese and V. R. Rao, "Device Design and Optimization Considerations for Bulk FinFETs," in IEEE Transactions on Electron Devices, vol. 55, no. 2, pp. 609-615, Feb. 2008, doi: 10.1109/TED.2007.912996.
[46] M. Shrivastava, M. S. Baghini, D. K. Sharma and V. R. Rao, "A Novel Bottom Spacer FinFET Structure for Improved Short-Channel, Power-Delay, and Thermal Performance," in IEEE Transactions on Electron Devices, vol. 57, no. 6, pp. 1287-1294, June 2010, doi: 10.1109/TED.2010.2045686.
[47] X. Zhang, D. Connelly, H. Takeuchi, M. Hytha, R. J. Mears and T. -J. K. Liu, "Comparison of SOI Versus Bulk FinFET Technologies for 6T-SRAM Voltage Scaling at the 7-/8-nm Node," in IEEE Transactions on Electron Devices, vol. 64, no. 1, pp. 329-332, Jan. 2017, doi: 10.1109/TED.2016.2626397.
[48] C. -C. Chen and M. -D. Ker, "Study and Verification on the Latch-Up Path Between I/O pMOS and N-Type Decoupling Capacitors in 0.18- μ m CMOS Technology," in IEEE Transactions on Device and Materials Reliability, vol. 19, no. 2, pp. 445-451, June 2019, doi: 10.1109/TDMR.2019.2916721.
[49] A. Ochoa, W. Dawes and D. Estreich, "Latch-Up Control in CMOS Integrated Circuits," in IEEE Transactions on Nuclear Science, vol. 26, no. 6, pp. 5065-5068, Dec. 1979, doi: 10.1109/TNS.1979.4330274.
[50] K. Miyaguchi et al., "Modeling FinFET metal gate stack resistance for 14nm node and beyond," 2015 International Conference on IC Design & Technology (ICICDT), Leuven, Belgium, 2015, pp. 1-4, doi: 10.1109/ICICDT.2015.7165885.
[51] M. Karner et al., "Variability-Aware DTCO Flow: Projections to N3 FinFET and Nanosheet 6T SRAM," 2021 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Dallas, TX, USA, 2021, pp. 15-18, doi: 10.1109/SISPAD54002.2021.9592527.
[52] W. Yan et al., "Sub-Fin solid source doping in the 14nm and sub-14 FinFET device," 2016 China Semiconductor Technology International Conference (CSTIC), Shanghai, China, 2016, pp. 1-3, doi: 10.1109/CSTIC.2016.7464040.
[53] J. -S. Yoon, J. Jeong, S. Lee and R. -H. Baek, "Bottom Oxide Bulk FinFETs Without Punch-Through-Stopper for Extending Toward 5-nm Node," in IEEE Access, vol. 7, pp. 75762-75767, 2019, doi: 10.1109/ACCESS.2019.2920902.
[54] J. Lee, J. -S. Yoon, S. Lee, J. Jeong and R. -H. Baek, "TCAD-Based Flexible Fin Pitch Design for 3-nm Node 6T-SRAM Using Practical Source/Drain Patterning Scheme," in IEEE Transactions on Electron Devices, vol. 68, no. 3, pp. 1031-1036, March 2021, doi: 10.1109/TED.2021.3053508.
[55] C. -C. Yen, M. -D. Ker and T. -Y. Chen, "Transient-Induced Latchup in CMOS ICs Under Electrical Fast-Transient Test," in IEEE Transactions on Device and Materials Reliability, vol. 9, no. 2, pp. 255-264, June 2009, doi: 10.1109/TDMR.2009.2015938.
[56] K. Domanski, "Latch-up in FinFET technologies," 2018 IEEE International Reliability Physics Symposium (IRPS), Burlingame, CA, USA, 2018, pp. 2C.4-1-2C.4-5, doi: 10.1109/IRPS.2018.8353550.
[57] K. Serbulova et al., "Impact of Sub-µm Wafer Thinning on Latch-up Risk in STCO Scaling Era," 2021 43rd Annual EOS/ESD Symposium (EOS/ESD), Tucson, AZ, USA, 2021, pp. 1-6, doi: 10.23919/EOS/ESD52038.2021.9574787.
[58] K. Serbulova et al., "Impact of Backside Power Delivery Network with Buried Power Rails on Latch-up Immunity in DTCO/STCO," 2023 45th Annual EOS/ESD Symposium (EOS/ESD), Riverside, CA, USA, 2023, pp. 1-6, doi: 10.23919/EOS/ESD58195.2023.10287753.
[59] G. Hiblot, K. Serbulova, G. Hellings and S. -H. Chen, "TCAD study of latch-up sensitivity to wafer thinning below 500 nm," 2021 International Semiconductor Conference (CAS), Romania, 2021, pp. 121-124, doi: 10.1109/CAS52836.2021.9604133.
-
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/99694-
dc.description.abstract本論文探討在先進製程節點下的設計與優化策略,並以功率(Power)、效能(Performance)、面積(Area)與成本(Cost),統稱為PPAC,作為評估基準。研究內容涵蓋新型電晶體堆疊架構設計、先進元件技術導入、電路層級之優化方法,以及基礎結構的改良,藉此深入分析性能強化的可行途徑。
本論文內容可區分為兩大部分。在第一部分中,提出一種基於互補式場效電晶體(Complementary FET, CFET)技術之新型10電晶體3端口(10T3P)靜態隨機存取記憶體(SRAM)單元。該架構針對記憶體內運算(Compute-In-Memory, CIM)應用所設計,旨在於記憶體陣列中直接執行計算操作,以有效緩解資料傳輸瓶頸,並降低系統延遲與能耗。本研究針對該10T3P SRAM單元的讀取延遲與能量消耗進行了全面分析,並根據結果進行有針對性的電路層級優化。與傳統8電晶體2端口(8T2P)SRAM架構相比,所提架構於讀取延遲與能量效率方面均展現顯著改善,顯示其於高效能CIM應用中的高度潛力。
第二部分則聚焦於針對N14與N3節點鰭式電晶體(FinFET)所進行的結構性優化。本研究提出在源極/汲極(Source/Drain, S/D)區域下方導入部分汲極隔離層(Partial Drain Isolation)之設計,以提升元件整體性能。模擬結果顯示,該結構性改良可顯著強化多項關鍵元件參數,包括直流與交流(DC/AC)電性特徵、SRAM操作速度(讀寫延遲),以及閂鎖效應(Latch-up Effect)之耐受性,進一步驗證其於先進製程節點下的實用價值。
zh_TW
dc.description.abstractThis thesis presents design and optimization methodologies for advanced technology nodes, benchmarked against the primary industry metrics of Power, Performance, Area, and Cost (PPAC). The research investigates performance enhancement through the application of novel device architectures, advanced circuit-level strategies, and fundamental structural modifications.
The first part of this work introduces a novel 10-transistor, 3-port (10T3P) SRAM cell based on Complementary Field-Effect Transistor (CFET) technology, specifically engineered for Compute-In-Memory (CIM) applications. CIM is a paradigm that mitigates the data transfer bottleneck by executing computation directly within the memory array, thus reducing latency and power consumption. This research systematically analyzes the 10T3P cell's read delay and energy consumption, leading to the implementation of targeted optimization strategies. The resulting architecture demonstrates a significant reduction in read latency and energy consumption relative to a conventional 8-transistor, 2-port (8T2P) SRAM baseline, establishing its strong potential for high-performance CIM applications.
In the second part, this thesis investigates a structural modification to N14 and N3 FinFETs by integrating a partial drain isolation layer beneath the source/drain (S/D) region. This architectural enhancement is shown to yield improvements in key device metrics, including DC/AC electrical characteristics, SRAM operational speed, and overall latch-up immunity.
en
dc.description.provenanceSubmitted by admin ntu (admin@lib.ntu.edu.tw) on 2025-09-17T16:23:58Z
No. of bitstreams: 0
en
dc.description.provenanceMade available in DSpace on 2025-09-17T16:23:58Z (GMT). No. of bitstreams: 0en
dc.description.tableofcontents致謝 i
Related Publications (相關論文發表) ii
Journal Papers (學術期刊論文) ii
摘要 iii
ABSTRACT iv
CONTENTS vi
List of Figures viii
List of Tables xi
Chapter 1 Introduction 1
1.1 Background and Motivation 1
1.2 Thesis Organization 6
Chapter 2 10T3P SRAM with Transient and Power Analysis 8
2.1 Introduction 8
2.2 Architecture of 10T3P CFET SRAM and MAC Logic 11
2.3 Read Delay and Power Analysis 13
2.4 Summary 17
Chapter 3 DTCO for Read Delay Reduction in 10T3P SRAM 18
3.1 Introduction 18
3.2 Dual Rail Design and HVT Devices 18
3.3 Layout Optimization 23
3.4 Summary 25
Chapter 4 N14/N3 FinFET SRAM Transient Analysis and Latch-up Immunity 27
4.1 Introduction 27
4.2 Architecture of FinFET SRAM with Partial Drain Isolation 29
4.3 SRAM Transient Analysis 34
4.4 Latch-up Effect and Beta Gain 40
4.5 Summary 44
Chapter 5 Summary 45
5.1 Summary 45
5.2 Future Works 46
REFERENCE 47
-
dc.language.isoen-
dc.subject靜態隨機存取記憶體zh_TW
dc.subject設計技術協同優化zh_TW
dc.subject互補式場效電晶體zh_TW
dc.subject記憶體內運算zh_TW
dc.subject鰭式電晶體zh_TW
dc.subject部分汲極隔離層zh_TW
dc.subject閂鎖效應zh_TW
dc.subjectDesign-Technology Co-Optimizationen
dc.subjectlatch-up effecten
dc.subjectpartial drain isolationen
dc.subjectFinFETen
dc.subjectCompute-In-Memoryen
dc.subjectComplementary Field-Effect Transistoren
dc.subjectstatic random-access memoryen
dc.title先進製程靜態隨機存取記憶體設計、優化與可靠性研究zh_TW
dc.titleDesign, Optimization, and Reliability Analysis of SRAM in Advanced Technologyen
dc.typeThesis-
dc.date.schoolyear113-2-
dc.description.degree碩士-
dc.contributor.oralexamcommittee李敏鴻;林楚軒;林中一zh_TW
dc.contributor.oralexamcommitteeMin-Hung Lee;Chu-Hsuan Lin;Chung-Yi Linen
dc.subject.keyword靜態隨機存取記憶體,設計技術協同優化,互補式場效電晶體,記憶體內運算,鰭式電晶體,部分汲極隔離層,閂鎖效應,zh_TW
dc.subject.keywordstatic random-access memory,Design-Technology Co-Optimization,Complementary Field-Effect Transistor,Compute-In-Memory,FinFET,partial drain isolation,latch-up effect,en
dc.relation.page55-
dc.identifier.doi10.6342/NTU202503745-
dc.rights.note未授權-
dc.date.accepted2025-08-08-
dc.contributor.author-college電機資訊學院-
dc.contributor.author-dept電子工程學研究所-
dc.date.embargo-liftN/A-
顯示於系所單位:電子工程學研究所

文件中的檔案:
檔案 大小格式 
ntu-113-2.pdf
  未授權公開取用
6.05 MBAdobe PDF
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved