請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/99314完整後設資料紀錄
| DC 欄位 | 值 | 語言 |
|---|---|---|
| dc.contributor.advisor | 黃鐘揚 | zh_TW |
| dc.contributor.advisor | Chung-Yang Huang | en |
| dc.contributor.author | 隋中彧 | zh_TW |
| dc.contributor.author | Zhong-Yu Sui | en |
| dc.date.accessioned | 2025-08-22T16:08:45Z | - |
| dc.date.available | 2025-08-23 | - |
| dc.date.copyright | 2025-08-22 | - |
| dc.date.issued | 2025 | - |
| dc.date.submitted | 2025-08-11 | - |
| dc.identifier.citation | [1] N. C. Thompson, K. Greenewald, K. Lee, G. F. Manso, and et al. ”The Computational Limits of Deep Learning”. ArXiv Preprint, 2020. arXiv:2007.05558.
[2] T. Li, J. Hou, J. Yan, R. Liu, H. Yang, and Z. Sun. ”Chiplet Heterogeneous Integration Technology—Status and Challenges”. Electronics, 9(4):670, 2020. [3] C. H. Douglas, C.-T. Wang, and H. Hsia. ”Foundry perspectives on 2.5 D/3D integration and roadmap”. In 2021 IEEE International Electron Devices Meeting (IEDM), pages 3–7, 2021. [4] S. Zhang, Z. Li, H. Zhou, R. Li, S. Wang, K.-W. Paik, and P. He. ”Challenges and recent prospectives of 3D heterogeneous integration”. e-Prime-Advances in Electrical Engineering, Electronics and Energy, 2:100052, 2022. [5] T. Whipple, T. Kukal, K. Felton, and V. Gerousis. "IC-package co-design and analysis for 3D-IC designs”. In 2009 IEEE International Conference on 3D System Integration, pages 1–6, 2009. [6] C. Zhuo, K. Unda, Y. Shi, and W.-K. Shih. ”From layout to system: Early stage power delivery and architecture co-exploration”. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38(7):1291–1304, 2018. [7] C. Cone, K. Felton, K. Rinebold, and J. Ferguson. ”Shift-Left Vertification in HDAP Design”. In 2019 International Wafer Level Packaging Conference (IWLPC), pages 1–7. IEEE, 2019. [8] TSMC. ”3Dblox Open Standard”. https://3dblox.org/. Accessed: 2024-10-20. [9] TSMC. ”TSMC 3Dblox Language Reference v2.0”. https://3dblox.org/. Accessed: 2024-10-20. [10] Cadence. ”Integrity 3D-IC Platform Official Website”. https://www.cadence.com/zh_TW/home/tools/digital-design-and-signoff/soc-implementation-and-floorplanning/integrity-3dic-platform.html. Accessed: 2025-08-01. [11] Synopsys. ”3DIC Compiler Official Website”. https://www.synopsys.com/implementation-and-signoff/3dic-design.html. Accessed: 2025-08-01. [12] Y.-T. Liu, Y.-H. Cheng, S.-Y. Wu, and H.-M. Chen. ”CFIRSTNET: Comprehensive Features for Static IR Drop Estimation with Neural Network”. In Proceedings of the 43rd IEEE/ACM International Conference on Computer-Aided Design, pages 1–9, 2024. [13] Z. Xie, H. Li, X. Xu, J. Hu, and Y. Chen. ”Fast IR drop estimation with machine learning”. In Proceedings of the 39th International Conference on Computer-Aided Design, pages 1–8, 2020. [14] C.-T. Ho and A. B. Kahng. ”IncPIRD: Fast learning-based prediction of incremental IR drop”. In 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 1–8, 2019. [15] V. A. Chhabria and S. S. Sapatnekar. ”OpenROAD PDNSim: Power grid analysis tool”. https://github.com/The-OpenROAD-Project/PDNSim. Accessed: 2025-08-01. [16] Y. Zhang, M. O. Hossen, and M. S. Bakir. ”Power delivery network benchmarking for interposer and bridge-chip-based 2.5-D integration”. IEEE Electron Device Letters, 39(1):99–102, 2017. [17] Y. Zhang and M. S. Bakir. ”Integrated thermal and power delivery network co-simulation framework for single-die and multi-die assemblies”. IEEE Transactions on Components, Packaging and Manufacturing Technology, 7(3):434–443, 2017. [18] G. Huang, M. S. Bakir, A. Naeemi, and J. D. Meindl. ”Power delivery for 3-D chip stacks: Physical modeling and design implication”. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2(5):852–859, 2012. [19] T. Zhang and N. Chang. ”Design for reliability (DFR) aware EDA solution for product reliability”. In 2024 IEEE International Reliability Physics Symposium (IRPS), pages 1–6, 2024. [20] C. Qu, R. Dai, J. Zheng, Y. Hu, and J. Zhang. ”Thermal and mechanical reliability of thermal through-silicon vias in three-dimensional integrated circuits”. Microelectronics Reliability, 143:114952, 2023. [21] L. Lin, T. Zhang, Q. Li, L. Yin, and N. Chang. ”Solving 3D-IC Multiphysics Challenges with a Novel ML-Assisted Co-Optimization Methodology”. In 2024 International VLSI Symposium on Technology, Systems and Applications (VLSI TSA), pages 1–2, 2024. [22] R. Wang, Z. Wang, T. Lin, J. M. Raby, M. R. Stan, and X. Guo. ”Cool-3D: An End-to-End Thermal-Aware Framework for Early-Phase Design Space Exploration of Microfluidic-Cooled 3DICs”. ArXiv Preprint, 2025. arXiv:2503.07297. [23] T. Zhu, Q. Wang, Y. Lin, R. Wang, and R. Huang. ” MORE-Stress: Model Order Reduction based Efficient Numerical Algorithm for Thermal Stress Simulation of TSV Arrays in 2.5 D/ 3D IC”. In 2025 Design, Automation & Test in Europe Conference (DATE), pages 1–7, 2025. [24] C.-C. Lee, Y.-M. Lin, H.-C. Liu, J.-Y. Syu, Y.-C. Huang, and T.-C. Chang. ”Reliability evaluation of ultra thin 3D-IC package under the coupling load effects of the manufacturing process and temperature cycling test”. Microelectronic Engineering, 244:111572, 2021. [25] H. Vogt, G. Atkinson, P. Nenzi, and D. Warning. ”Ngspice official website”. https://ngspice.sourceforge.io/. Accessed: 2025-08-01. [26] C. Jang, J. Kim, B. Ahn, and J. Chong. ”Power bumps and through-silicon-vias placement with optimised power mesh structure for power delivery network in three-dimensional-integrated circuits”. IET Computers & Digital Techniques, 7(1):11–20, 2013. [27] A. Vince. ”A framework for the greedy algorithm”. Discrete Applied Mathematics, 121(1-3):247–260, 2002. [28] Konijnendijk. ”C++ MCTS”. https://github.com/Konijnendijk/cpp-mcts. Accessed: 2025-08-03. [29] D. Bertsimas and J. Tsitsiklis. ”Simulated annealing”. Statistical science, 8(1):10–15, 1993. | - |
| dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/99314 | - |
| dc.description.abstract | 隨著二維積體電路(2D-IC)於奈米節點面臨功耗、效能與面積(PPA)之瓶頸,三維積體電路(3D-IC)憑藉其垂直堆疊之結構優勢,成為改善PPA與降低成本的重要技術途徑。然而,目前3D-IC的電子設計自動化(EDA)流程仍處於初期階段,缺乏清晰且結構化的方法學以及完整工具鏈支援;在實務設計中,因涉及多模組堆疊與跨階段協作,整體流程往往複雜、耗時且試錯成本高。
本研究首先提出一套符合系統層至實體實作階段的3D-IC設計流程,為方法學研發與EDA工具整合提供標準化的依據。我們的主要目標,是未來能提供一個往更高抽象階層移動的3D-IC設計流程,使設計者在系統層級即可全面考量系統設計中的各種取捨,以達成系統階層設計之最佳化。 在此目標下,除了實現上述流程的一套原型系統 NTU-3DIC 外,我們亦建構了一個符合 3Dblox 標準之黑盒子階段設計分析與最佳化引擎。本系統提供了直觀的參數化設計介面、清晰的階段劃分與圖形化堆疊視覺支援,並整合黑盒子階段的PDN電壓降(IR-drop)可行性分析與熱區映射功能,協助設計者快速識別潛在熱點並制定相應之優化策略。本研究所提出的設計框架,成功建立一個結構化且可擴展之設計探索與分析架構,不僅顯著提升設計者於設計早期的決策效率,也為後續「shift-left」設計自動化方法以及跨階段EDA工具整合奠定堅實基礎,對推進3D-IC設計的實務與學術研究皆具重要意義。 | zh_TW |
| dc.description.abstract | As two-dimensional integrated circuits (2D-ICs) face power, performance, and area (PPA) bottlenecks at advanced nanometer nodes, three-dimensional integrated circuits (3D-ICs), leveraging vertical stacking structures, have emerged as an essential technological approach to enhance PPA and reduce costs. However, current electronic design automation (EDA) flows for 3D-ICs remain in their early stages, lacking clear, structured methodologies and comprehensive toolchain support. Practical designs typically involve multiple module stacking and cross-phase collaborations, making the overall design process complex, time-consuming, and prone to high trial-and-error costs.
In this research, we first propose a structured and standardized 3D-IC design flow that spans from the system level down to the physical implementation stage. This proposed design flow serves as a foundational methodology for future research and integration with EDA tools. The primary objective of our study is to facilitate the shift of 3D-IC design toward higher abstraction levels, enabling designers to consider comprehensive system-level trade-offs early on and achieve system-level design optimization effectively. Toward this goal, in addition to implementing a prototype system called NTU-3DIC based on our proposed flow, we also develop an analysis and optimization engine compliant with the 3Dblox standard for blackbox-stage design exploration. The prototype features an intuitive parametric design interface, clearly defined design stages, and graphical stacking visualization capabilities. It also integrates feasibility analysis of PDN voltage drop (IR-drop) and hotspot mapping during the blackbox stage, assisting designers in rapidly identifying potential thermal hotspots and formulating corresponding optimization strategies. The proposed design methodology successfully establishes a structured and extensible design exploration and analysis framework. It significantly enhances early-stage decision-making efficiency for designers and provides a robust foundation for subsequent "shift-left" design automation approaches and cross-phase EDA tool integration, thus advancing both practical and academic development in the field of 3D-IC design. | en |
| dc.description.provenance | Submitted by admin ntu (admin@lib.ntu.edu.tw) on 2025-08-22T16:08:45Z No. of bitstreams: 0 | en |
| dc.description.provenance | Made available in DSpace on 2025-08-22T16:08:45Z (GMT). No. of bitstreams: 0 | en |
| dc.description.tableofcontents | Acknowledgements i
中文摘要 iii Abstract v Contents vii List of Figures ix List of Tables x Chapter 1 Introduction 1 1.1 Problem Statement and Motivation . . . . . . . . . . . . . . . . . . 1 1.2 Related Works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 1.3 Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 1.4 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . 6 Chapter 2 Background Knowledge 7 2.1 The 3Dblox Design Standard . . . . . . . . . . . . . . . . . . . . . . 7 2.2 Overview of 3D-IC Design Flow . . . . . . . . . . . . . . . . . . . . 10 2.3 Design Challenges and Feasibility Analysis in Early-Stage 3D-IC . . 11 2.4 Ngspice for Power Simulation . . . . . . . . . . . . . . . . . . . . . 12 Chapter 3 Proposed 3D-IC Design Methodology and Blackbox-Stage Framework 14 3.1 A Shift-left 3D-IC Design Flow: A System-to-GDS Vision . . . . . . 14 3.2 Overview of the Blackbox-Stage Design Framework . . . . . . . . . 21 3.3 Three Phases in the Blackbox-Stage Design . . . . . . . . . . . . . . 24 3.4 Visual Planning Interface and Early-Stage Co-Design Support . . . . 26 3.5 3Dblox Compliance . . . . . . . . . . . . . . . . . . . . . . . . . . 29 Chapter 4 PDN Feasibility Analysis Engine 32 4.1 Overview of the Engine and Its Integration in the Framework . . . . 33 4.2 PDN Modeling in the Blackbox Stage . . . . . . . . . . . . . . . . . 34 4.3 Integrated IR-Drop Analysis Flow and Visualization . . . . . . . . . 37 4.4 Phase-Based PDN Analysis Support . . . . . . . . . . . . . . . . . . 40 4.5 Design Space Exploration and Optimization Support . . . . . . . . . 43 Chapter 5 Experimental Results 51 5.1 Comparison on Different Power Bump Placement Algorithm . . . . . 52 5.2 System-Level 3D-IC Design Flow with Blackbox Feasibility Analysis 58 Chapter 6 Conclusions and Future Work 63 6.1 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 6.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 References 66 | - |
| dc.language.iso | en | - |
| dc.subject | 3Dblox 標準 | zh_TW |
| dc.subject | 三維積體電路 | zh_TW |
| dc.subject | 電子設計自動化 | zh_TW |
| dc.subject | 電源分配網路 | zh_TW |
| dc.subject | 可行性分析 | zh_TW |
| dc.subject | Feasibility Analysis | en |
| dc.subject | Power Delivery Network | en |
| dc.subject | Electronic Design Automation | en |
| dc.subject | 3Dblox Standard | en |
| dc.subject | Three-Dimensional Integrated Circuit | en |
| dc.title | 符合 3Dblox 標準之三維積體電路黑盒子階段設計框架與電源分配網路可行性分析 | zh_TW |
| dc.title | A 3Dblox-Standard Compliant 3D-IC Design Framework with Blackbox-Stage Power Delivery Network Feasibility Analysis | en |
| dc.type | Thesis | - |
| dc.date.schoolyear | 113-2 | - |
| dc.description.degree | 碩士 | - |
| dc.contributor.oralexamcommittee | 張志偉;張耀文;江蕙如;方劭云;甘滄棋 | zh_TW |
| dc.contributor.oralexamcommittee | Jim Chang;Yao-Wen Chang;Hui-Ru Jiang;Shao-Yun Fang;Tsang-Chi Kan | en |
| dc.subject.keyword | 三維積體電路,3Dblox 標準,可行性分析,電源分配網路,電子設計自動化, | zh_TW |
| dc.subject.keyword | Three-Dimensional Integrated Circuit,3Dblox Standard,Feasibility Analysis,Power Delivery Network,Electronic Design Automation, | en |
| dc.relation.page | 70 | - |
| dc.identifier.doi | 10.6342/NTU202503630 | - |
| dc.rights.note | 未授權 | - |
| dc.date.accepted | 2025-08-13 | - |
| dc.contributor.author-college | 電機資訊學院 | - |
| dc.contributor.author-dept | 電子工程學研究所 | - |
| dc.date.embargo-lift | N/A | - |
| 顯示於系所單位: | 電子工程學研究所 | |
文件中的檔案:
| 檔案 | 大小 | 格式 | |
|---|---|---|---|
| ntu-113-2.pdf 未授權公開取用 | 12.95 MB | Adobe PDF |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。
