Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
    • 指導教授
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 電機資訊學院
  3. 電子工程學研究所
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/82242
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor蔡坤諭(Kuen-Yu Tsai)
dc.contributor.authorChien-Lin Leeen
dc.contributor.author李建霖zh_TW
dc.date.accessioned2022-11-25T06:34:13Z-
dc.date.copyright2021-11-02
dc.date.issued2021
dc.date.submitted2021-10-29
dc.identifier.citation1. IEEE, “The international roadmap for devices and systems,” https://irds.ieee.org (2020). 2. M. Fujita et al. “Application and evaluation of direct-write electron beam for ASICs,” IEEE J. Solid-State Circuits 23(2), 514–519 (1988). 3. J. G. Hartley and T. R. Groves, “Chrome on glass mask writing at 75 kV with the IBM EL4+electron-beam system,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 17(6), 2932–2935 (1999). 4. B. D. Cook and S. Y. Lee, “Dose, shape, and hybrid modifications for PYRAMID in electron beam proximity effect correction,” J. Vac. Sci. Technol. 15(6), 2303–2308 (1997). 5. C.-H. Liu et al., “Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam-direct-write lithography,” J. Vac. Sci. Technol. B, Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 31(2), 021605 (2013). 6. M. Parikh, “Corrections to proximity effects in electron beam lithography. I. Theory,” J. Appl. Phys. 50(6), 4371–4377 (1979). 7. T. Klimpel et al., “Model based hybrid proximity effect correction scheme combining dose modulation and shape adjustments,” J. Vac. Sci. Technol. B, Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 29(6), 06F315 (2011). 8. J. C. Jacob et al., “Fast proximity effect correction: an extension of PYRAMID for circuit patterns of arbitrary size,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 10(6), 3077–3082 (1992). 9. K. D. Cummings, R. C. Frye, and E. A. Rietman, “Using a neural network to proximity correct patterns written with a Cambridge electron beam microfabricator 10.5 lithography system,” Appl. Phys. Lett. 57(14), 1431–1433 (1990). 10. S. Iida, T. Nagai, and T. Uchiyama, “Standard wafer with programed defects to evaluate the pattern inspection tools for 300-mm wafer fabrication for 7-nm node and beyond,” J. Micro/Nanolithogr. MEMS MOEMS 18(2), 023505 (2019). 11. S. Iida, T. Nagai, and T. Uchiyama, “Development of standard samples with programmed defects for evaluation of pattern inspection tools for 7-nm and smaller nodes,” J. Micro/Nanolithogr. MEMS MOEMS 18(3), 033503 (2019). 12. B. W. Ward, John A. Notte, and N. P. Economou, “Helium ion microscope: a new tool for nanoscale microscopy and metrology,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 24(6), 2871–2874 (2006). 13. S. He et al., “Helium-ion-beam nanofabrication: extreme processes and applications,” Int. J. Extreme Manuf. 3(1), 012001 (2020). 14. N. Ravi Kiran et al. “Resists for helium ion beam lithography: recent advances,” ACS Appl. Electron. Mater. 2, 12 (2020). 15. D. Winston et al., “Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 27(6), 2702–2706 (2009). 16. D. Winston et al. “Modeling the point‐spread function in helium‐ion lithography,” Scanning 34(2), 121–128 (2012). 17. N. Kalhor et al. “Impact of pixel-dose optimization on pattern fidelity for helium ion beam lithography on EUV resist,” Proc. SPIE 9425, 942513 (2015). 18. P. C. W. Ng et al., “Fully model-based methodology for simultaneous correction of extreme ultraviolet mask shadowing and proximity effects,” J. Micro/Nanolithogr. MEMS MOEMS 10(1), 013004 (2011). 19. H.-T. Ng et al., “New method of optimizing writing parameters in electron beam lithography systems for throughput improvement considering patterning fidelity constraints,” J. Micro/Nanolithogr. MEMS MOEMS 11(3), 033007 (2012). 20. R. Ramachandra, B. Griffin, and D. Joy, “A model of secondary electron imaging in the helium ion scanning microscope,” Ultramicroscopy 109(6), 748–757 (2009). 21. J. F. Ziegler, J. P. Biersack, and M. D. Ziegler, SRIM–The Stopping and Range of Ions in Matter, SRIM Co., Chester, Maryland (2008). 22. A. E. Grigorescu, and C.W. Hagen, “Resists for sub-20-nm electron beam lithography with a focus on HSQ: state of the art,” Nanotechnology 20(29), 292001 (2009). 23. V. Sidorkin et al., “Sub-10-nm nanolithography with a scanning helium beam,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 27(4), L18–L20 (2009). 24. Y. S. Su et al., “Design of automatic controllers for model-based OPC with optimal resist threshold determination for improving correction convergence,” Proc. SPIE 6924, 69243Z (2008). 25. C.-L. Lee et al., “Precision fabrication of EUVL programmed defects with helium ion beam patterning,” J. Micro/Nanopatterning Mater. Metrol. 20(2), 023001 (2021). 26. C.-L. Lee, S.-W. Chien, and K.-Y. Tsai, “Model-based proximity effect correction for helium ion beam lithography,” Proc. SPIE 10584, 105841C (2018). 27. M. E. Preil, “Patterning challenges in the sub-10 nm era,” Proc. SPIE 9780, 978002 (2016). 28. M. Malloy and L. C. Litt, “Technology review and assessment of nanoimprint lithography for semiconductor and patterned media manufacturing,” J. Micro/Nanolithogr. MEMS MOEMS 10(3), 032001 (2011). 29. P. De Bisschop, “Stochastic printing failures in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS 17(4), 041011 (2018). 30. P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures,” J. Micro/Nanolithogr. MEMS MOEMS 16(4), 041013 (2017). 31. R. Hirano et al., “Extreme ultraviolet lithography patterned mask defect detection performance evaluation toward 16- to 11-nm half-pitch generation,” J. Micro/Nanolithogr. MEMS MOEMS 14(3), 033512 (2015). 32. K. D. Cummings et al., “Patterning of defect arrays with e-beam lithography used to develop a high throughput e-beam defect inspection tool,” J. Vac. Sci. Technol. B: Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 33(6), 06FD03 (2015). 33. Y.-T. Shen et al., “Electron-beam proximity effect model calibration for fabricating scatterometry calibration samples,” Proc. SPIE 8324, 83242K (2012). 34. S. Iida et al., “Investigation of defect detectability for extreme ultraviolet patterned mask using two types of high-throughput electron-beam inspection systems,” J. Micro/Nanolithogr. MEMS MOEMS 15(1), 013510 (2016). 35. S. Iida and T. Uchiyama, “Optimized structure of standard sample with programed defects for pattern inspection using electron beams,” J. Vac. Sci. Technol. B: Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 36(6), 06J502 (2018). 36. S. Iida and T. Uchiyama, “Fabricating programmed micro-defects on a line and space pattern with an ultra-low line edge roughness <1 nm,” Micro Nano Eng. 3, 44–49 (2019). 37. J. Reche et al., “Programmed line width roughness metrology by multitechniques approach,” J. Micro/Nanolithogr. MEMS MOEMS 17(4), 041005 (2018). 38. Y. Nakayama et al., “Novel CD-SEM calibration reference patterned by EB cell projection lithography,” Proc. SPIE 5752, 591–602 (2005). 39. Y. Nakayama, J. Yamamoto, and H. Kawada, “Critical dimension-scanning electron microscope magnification calibration with 25-nm pitch grating reference,” J. Micro/Nanolithogr. MEMS MOEMS 10(1), 013021 (2011). 40. R. Flatabø et al., “Exploring proximity effects and large depth of field in helium ion beam lithography: large-area dense patterns and tilted surface exposure,” Nanotechnology 29(27), 275301 (2018). 41. W.-D. Li, W.Wu, and R. S.Williams, “Combined helium ion beam and nanoimprint lithography attains 4 nm half-pitch dense patterns,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 30(6), 06F304 (2012). 42. L. Scipioni et al., “Fabrication and initial characterization of ultrahigh aspect ratio vias in gold using the helium ion microscope,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 28(6), C6P18–C6P23 (2010). 43. C. Hahn, A. Hajebifard, and P. Berini, “Helium focused ion beam direct milling of plasmonic heptamer-arranged nanohole arrays,” Nanophotonics 9(2), 393–399 (2020). 44. C.-L. Lee et al., “Fabrication of metrology test structures with helium ion beam direct write,” Proc. SPIE 10145, 1014519 (2017). 45. C.-L. Lee et al., “Precision fabrication of EUVL programmed defects with helium ion beam lithography,” Proc. SPIE 11147, 111471J (2019). 46. C.-L. Lee et al., “Investigation on helium ion beam lithography with proximity effect correction, ” J. Micro/Nanopatterning Mater. Metrol. 20(3), 033201 (2021). 47. S. Nakao et al., “Mechanical properties of a micron-sized SCS film in a high-temperature environment,” J. Micromech. Microeng. 16(4), 715 (2006). 48. F. Liu et al., “Nanomechanics: response of a strained semiconductor structure,” Nature 416(6880), 498–498 (2002). 49. N. Matsunami et al., “Energy dependence of the yields of ion-induced sputtering of monatomic solids,” Institute of Plasma Physics, Nagoya University, Japan (1983). 50. K.-Y. Tsai et al., “On the sensitivity improvement and cross-correlation methodology for confocal EUV mask blank defect inspection tool fleet,” Proc. SPIE 5992, 599240 (2005). 51. Y. Chen et al., “EUV multilayer defect characterization via cycle-consistent learning,” Opt. Express 28, 18493–18506 (2020). 52. C.-L. Lee, S.-W. Chien, and K.-Y. Tsai, “Fabrication of programmed defects for non-imaging EUV mask inspection by helium ion beam direct milling,” in 30th Int. Microprocess. and Nanotechnol. Conf. (MNC 2017), 8P-7-1 (Poster), Jeju (2017). 53. F.-T. Yao et al., “In-line metrology of nanoscale features in semiconductor manufacturing systems,” Precision Engineering 47, 147-157 (2017). 54. Benjamin Bunday, et al., “Metrology capabilities and needs for 7nm and 5nm logic nodes,” Proc. SPIE 10145, 101450G (2017). 55. Benjamin Bunday, et al., “7/5nm logic manufacturing capabilities and requirements of metrology,” Proc. SPIE 10585, 105850I (2018). 56. S. A. Rishton, and D. P. Kern, “Point exposure distribution measurements for proximity correction in electron beam lithography on a sub‐100 nm scale,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 5(1), 135-141 (1987). 57. M. Ishibashi et al., “Characteristics of scanning-probe lithography with a current-controlled exposure system,” Appl. Phys. Lett. 72(13), 1581-1583 (1998). 58. E. Seo et al., “Determination of proximity effect parameters and the shape bias parameter in electron beam lithography,” Microelectron. Eng. 53(1-4), 305-308 (2000). 59. S.-.Y Lee, and B. D. Cook, “PYRAMID-a hierarchical, rule-based approach toward proximity effect correction. I. Exposure estimation,” IEEE T. Semiconduct. M. 11(1), 108-116 (1998). 60. B. D. Cook. and S.-.Y Lee, “PYRAMID-a hierarchical, rule-based approach toward proximity effect correction. II. Correction,” IEEE T. Semiconduct. M. 11(1), 117-128 (1998). 61. L. Ren, and B. Chen, “Proximity effect in electron beam lithography,” Proceedings. 7th International Conference on Solid-State and Integrated Circuits Technology, Vol. 1. IEEE, 2004. 62. G. Hlawacek et al., “Helium ion microscopy,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 32(2), 020801 (2014). 63. D. Emmrich et al., “Nanopore fabrication and characterization by helium ion microscopy,” Appl. Phys. Lett. 108(16), 163103 (2016). 64. Frances I. Allen, “A review of defect engineering, ion implantation, and nanofabrication using the helium ion microscope,” Beilstein J. Nanotech. 12(1), 633-664 (2021). 65. R. Fallica et al., “Comparative study of resists and lithographic tools using the Lumped Parameter Model,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 34(6), 06K702 (2016). 66. V. Deinhart et al., “The patterning toolbox FIB-o-mat: Exploiting the full potential of focused helium ions for nanofabrication,” Beilstein J. Nanotech. 12(1), 304-318 (2021). 67. C.-H. Liu et al., “Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam–direct-write lithography,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 31(2), 021605 (2013). 68. P. Li, “A Review of Proximity Effect Correction in Electron-beam Lithography,” arXiv e-prints arXiv-1509, 05169 (2015). 69. J. S. Villarrubia, and Z. J. Ding, “Sensitivity of scanning electron microscope width measurements to model assumptions,” J. Micro/Nanolithogr. MEMS MOEMS 8(3), 033003 (2009). 70. R. A. Lawson, and C. L. Henderson, “Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulator,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 28(6), C6H34-C6H39 (2010). 71. T. H. P Chang, “Proximity effect in electron‐beam lithography,” J. Vac. Sci. Tech. 12(6), 1271-1275 (1975). 72. F. M. Schellenberg, “Resolution enhancement technology: the past, the present, and extensions for the future,” Proc. SPIE 5377, (2004). 73. L. E. Ocola, and A. Stein, “Effect of cold development on improvement in electron-beam nanopatterning resolution and line roughness,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 24(6), 3061-3065 (2006). 74. V. R. Manfrinato et al., “Sub-5 kev electron-beam lithography in hydrogen silsesquioxane resist,” Microelectron. Eng. 88(10), 3070-3074 (2011). 75. V. R. Manfrinato et al., “Determining the resolution limits of electron-beam lithography: direct measurement of the point-spread function,” Nano lett. 14(8), 4406-4412 (2014). 76. J. K. W. Yang et al., “Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 27(6), 2622-2627 (2009). 77. J. K. W. Yang, and K. K. Berggren, “Using high-contrast salty development of hydrogen silsesquioxane for sub-10-nm half-pitch lithography,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 25(6), 2025-2029 (2007). 78. S. Kretschmer et al., “Supported two-dimensional materials under ion irradiation: the substrate governs defect production,” ACS Appl. Mater. Inter. 10(36), 30827-30836 (2018). 79. C. Toulouse et al, “Patterning enhanced tetragonality in Bi Fe O 3 thin films with effective negative pressure by helium implantation,” Phys. Rev. Mater. 5(2), 024404 (2021). 80. Z.-J. Wang et al., “Mechanical behavior of copper containing a gas-bubble superlattice,” Acta Mater. 121, 78-84 (2016). 81. P. F. A. Alkemade and H. Miro, “Focused helium-ion-beam-induced deposition,” Appl. Phys. A 117(4), 1727-1747 (2014). 82. L. Reimer, “Scanning electron microscopy: physics of image formation and microanalysis,” Meas. Sci. Technol. 11, 1826 (2000). 83. J. Orloff, L. W. Swanson, and Mark Utlaut, “Fundamental limits to imaging resolution for focused ion beams,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 14(6), 3759-3763 (1996). 84. D. C. Bell, “Contrast mechanisms and image formation in helium ion microscopy,” Microsc. Microanal. 15(2), 147-153 (2009). 85. D. C Joy, Helium Ion Microscopy: Principles and Applications. New York: Springer, (2013). 86. M. T. Postek et al., “Review of Current Progress in Nanometrology with Helium Ions,” Meas. Sci. Technol. 22, 1-14 (2011). 87. N. Kalhor, and P. F. A. Alkemade, “Resist assisted patterning,” Helium Ion Microscopy, 395-414 (2016). 88. G. Hlawacek, A. Gölzhäuser, Helium Ion Microscopy, NanoScience and Technology; Springer International Publishing: Cham, Switzerland, (2016). 89. M. G. Stanford et al., “Advanced nanoscale patterning and material synthesis with gas field helium and neon ion beams,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 35(3), 030802 (2017). 90. A. Belianinov et al, “Noble gas ion beams in materials science for future applications and devices,” Mrs Bull. 42(9), 660–666 (2017). 91. C. Vieu et al., “Electron beam lithography: resolution limits and applications,” Appl. surf. sci. 164(1-4), 111-117 (2000). 92. Xiaoqing Shi et al., “Helium ion beam lithography on fullerene molecular resists for sub-10 nm patterning,” Microelectron. Eng. 155, 74-78 (2016). 93. F. Luo et al., “Helium ion beam lithography (HIBL) using HafSOx as the resist,” Proc. SPIE 9779, 977928 (2016). 94. D. Maas et al., “Evaluation of EUV resist performance below 20nm CD using helium ion lithography,” Proc. SPIE 9048, 90482Z (2014). 95. M. Li et al., “Novel Sn-based photoresist for high aspect ratio patterning,” Proc. SPIE 10586, 105860K (2018). 96. P. G. Reddy et al., “Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness,” AIP Adv. 7(8), 085314 (2017). 97. Satinder K. Sharma et al., “Helium ion active hybrid non-chemically amplified resist (n-CAR) for sub-10 nm patterning applications,” Proc. SPIE 10584, 1058409 (2018). 98. A. Cattoni et al., “Sub-10 nm electron and helium ion beam lithography using a recently developed alumina resist,” Microelectron. Eng. 193, 18-22 (2018). 99. Scott M. Lewis et al., “Plasma-etched pattern transfer of sub-10 nm structures using a metal–organic resist and helium ion beam lithography,” Nano Lett. 19(9), 6043-6048 (2019). 100. R. Kumar et al., “Development of nickel-based negative tone metal oxide cluster resists for sub-10 nm electron beam and helium ion beam lithography,” ACS Appl. Mater. Inter. 12(17), 19616-19624 (2020). 101. Satinder K. Sharma et al., “All-new nickel-based Metal Core Organic Cluster (MCOC) resist for N7+ node patterning,” Proc. SPIE 11326, 1132604 (2020). 102. Satinder K. Sharma et al., “Focusing on nanoparticles-based photomultiplier in n-CARs,” Proc. SPIE 11326, 113261C (2020). 103. M. Rudneva et al., “Novel nanosample preparation with a helium ion microscope,” J. Mate. Res. 28(8), 1013-1020 (2013). 104. M. G. Stanford et al., “In situ mitigation of subsurface and peripheral focused ion beam damage via simultaneous pulsed laser heating,” Small 12(13), 1779-1787 (2016). 105. R. Livengood et al., “Subsurface damage from helium ions as a function of dose, beam energy, and dose rate,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 27(6), 3244-3249 (2009). 106. N. Kalhor, S. A. Boden, and H. Mizuta, “Sub-10 nm patterning by focused He-ion beam milling for fabrication of downscaled graphene nano devices,” Microelectron. Eng. 114, 70-77 (2014). 107. M. Melli et al., “Reaching the theoretical resonance quality factor limit in coaxial plasmonic nanoresonators fabricated by helium ion lithography,” Nano Lett. 13(6), 2687-2691 (2013). 108. J. Yang et al., “Rapid and precise scanning helium ion microscope milling of solid-state nanopores for biomolecule detection,” Nanotechnology 22(28), 285310 (2011).
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/82242-
dc.description.abstract氦離子束直接曝寫是一個可用於7奈米以下節點圖案製造的潛在替代方案,因為它的優勢包括次0.5奈米的聚焦尺寸,較輕微的鄰近效應,以及潛在比電子束更高的解析度和更好的製像真確度。利用氦離子束直接銑削技術,不需要套用任何複雜的製程最佳化,例如鄰近效應修正方法,就可以相當容易解析出低至IRDS 1.5奈米節點的圖案,而且關鍵尺寸誤差很低。本論文提出並研究了基於模型的鄰近效應修正方法於氦離子束微影中的有效性。該模型利用點擴散函數來描述入射離子束粒子於光阻中散射時涉及的所有現象。後續修正過程的圖案預測來自於點擴散函數和圖案之間的卷積所產生的能量強度分佈,並加上一個適當的光阻靈敏度閾值。本方法透過數個15到5奈米半間距的設計佈局,並在特定的製程參數下,包括加速電壓、抗蝕劑厚度和抗蝕劑靈敏度,來檢驗其於氦離子束微影上的效能 本論文也研究了利用氦離子束直接銑削於先進檢測方案的可行性和潛在優勢。藉由利用氦離子束直接銑削,製程的複雜性可以大幅降低。氦離子束製程控制的能力由其高解析度和低製程複雜性得以驗證,顯示其在檢測工具所使用的測試結構製造中的潛力。然而,氦離子束直接銑削的高劑量特性使其在製造凸型圖案時不太有利。為了緩解此一現象,本論文也探討利用氦離子束微影技術製造高解析度奈米結構的可行性和潛在優勢。 最後,初步研究結果顯示,氦離子束直接銑削和氦離子束微影技術可用為製造10奈米以下圖案的一個有潛力的選擇,如應用於前瞻節點檢測工具的設計缺陷、極紫外光微影/X光微影光罩和其他超高解析度結構。總結,氦離子束是一種具有可製造出高解析度和高精度的原型元件的可行設備,並且適合用於開發用於奈米技術的先進解決方案或新穎應用。zh_TW
dc.description.provenanceMade available in DSpace on 2022-11-25T06:34:13Z (GMT). No. of bitstreams: 1
U0001-2510202120341600.pdf: 6290897 bytes, checksum: d49acf685644d4ed7591694aa408fd48 (MD5)
Previous issue date: 2021
en
dc.description.tableofcontentsAcknowledgements i Abstract iii 中文摘要 v List of Publications vii Contents ix List of Figures xi List of Tables xv Chapter 1 Introduction 1 1.1 Helium Ion Microscope 1 1.2 Helium Ion Beam Patterning 5 Chapter 2 Modeling the Helium Ion Beam Lithography 11 2.1 Introduction 11 2.2 Proximity Effect Modeling of Helium Ion Beam Lithography 13 2.3 Patterning Prediction Process for Helium Ion Beam Lithography 16 2.4 Experimental Verification of the Patterning Prediction Model 19 2.4.1 Experimental Condition 20 2.4.2 Simulation Condition 22 2.4.3 Comparison of Experiment and Simulation Result 23 Chapter 3 Investigation on helium ion beam lithography with proximity effect correction 27 3.1 Introduction 27 3.2 Simulation Approach 29 3.2.1 Process Model for Patterning Prediction 30 3.2.2 Feedback Compensation for Pattern Modulation 35 3.2.3 Evaluation of the Correction Results 37 3.3 Results and Discussion 38 3.4 Summary 46 Chapter 4 Precision Fabrication of EUVL Programmed Defects with Helium Ion Beam Patterning 47 4.1 Introduction 48 4.2 Simulation and Experimental Approach 51 4.2.1 HIB Direct Milling Experimental Condition 51 4.2.2 HIB Direct-Write Lithography Simulation Method 55 4.3 Results and Discussion 59 4.3.1 HIB Direct Milling Performance Test Utilizing Box Patterns 59 4.3.2 6T-SRAM Gate Layer Patterns with Programmed Defects Fabricated by HIB Direct Milling 61 4.3.3 Bump-Type Substrate Programmed Defects Fabricated by HIB Direct Milling 64 4.3.4 6T-SRAM Gate Layer Patterns with Programmed Defects by HIB Direct-Write Lithography Simulation 65 4.4 Summary 67 Chapter 5 Conclusion and Outlook 69 Bibliography 71
dc.language.isoen
dc.subject鄰近效應建模zh_TW
dc.subject氦離子束微影zh_TW
dc.subject設計缺陷製造zh_TW
dc.subject鄰近效應修正zh_TW
dc.subject氦離子束直接銑削zh_TW
dc.subjectprogrammed defects fabricationen
dc.subjecthelium ion beam lithographyen
dc.subjecthelium ion beam direct millingen
dc.subjectproximity effect modelingen
dc.subjectproximity effect correctionen
dc.title應用於前瞻奈米製造之氦離子束製像及鄰近效應模型建立與修正方法zh_TW
dc.titleModeling and Correction Methods for Helium-Ion-Beam Patterning and Proximity Effect with Applications in Advanced Nanofabricationen
dc.date.schoolyear109-2
dc.description.degree博士
dc.contributor.oralexamcommittee李佳翰(Hsin-Tsai Liu),王倫(Chih-Yang Tseng),林俊宏,劉俊宏,李昭德,鄭文豪
dc.subject.keyword氦離子束微影,氦離子束直接銑削,鄰近效應建模,鄰近效應修正,設計缺陷製造,zh_TW
dc.subject.keywordhelium ion beam lithography,helium ion beam direct milling,proximity effect modeling,proximity effect correction,programmed defects fabrication,en
dc.relation.page82
dc.identifier.doi10.6342/NTU202104179
dc.rights.note未授權
dc.date.accepted2021-10-29
dc.contributor.author-college電機資訊學院zh_TW
dc.contributor.author-dept電子工程學研究所zh_TW
dc.date.embargo-lift2026-10-28-
顯示於系所單位:電子工程學研究所

文件中的檔案:
檔案 大小格式 
U0001-2510202120341600.pdf
  未授權公開取用
6.14 MBAdobe PDF檢視/開啟
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved