Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 電機資訊學院
  3. 電子工程學研究所
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/68274
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor張耀文(Yao-Wen Chang)
dc.contributor.authorChau-Chin Huangen
dc.contributor.author黃朝琴zh_TW
dc.date.accessioned2021-06-17T02:16:21Z-
dc.date.available2021-01-04
dc.date.copyright2018-01-04
dc.date.issued2017
dc.date.submitted2017-10-03
dc.identifier.citation[1] DAC 2012 Placement Contest. http://archive.sigda.org/dac2012/contest/dac2012 contest.html.
[2] ISPD 2011 Placement Contest. http://www.ispd.cc/contests/11/ispd2011 contest.html.
[3] Cadence, Inc. LEF/DEF 5.3 to 5.7 exchange format. 2009. www.si2.org/openeda.si2.org/projects/lefdef.
[4] Cadence. SoC Encounter. http://www.cadence.com.
[5] ICCAD 2015 Incremental Timing-Driven Placement Contest. http://cadcontest. el.cycu.edu.tw/problem C/default.html.
[6] ISPD 2014 Detailed Routing-Driven Placement Contest. http://www.ispd.cc/contests/14/ispd2014 contest.html.
[7] ISPD 2015 Blockage-Aware Detailed Routing-Driven Placement Contest. http://www.ispd.cc/contests/15/ispd2015contest.html.
[8] IBM ILOG CPLEX Optimizer. http://www-01.ibm.com/software/commerce/optimization/cplexoptimizer/index.html.
[9] R. K. Ahuja, A. V. Goldberg, J. B. Orlin, and R. E. Tarjan. Finding minimum-cost flows by double scaling. Mathematical Programming, 53(1-3):243–266, 1992.
[10] C. Alpert, A. Kahng, G.-J. Nam, S. Reda, and P. G. Villarrubia. A semi-persistent clustering technique for VLSI circuit placement. In Proceedings of ACM International Symposium on Physical Design, pages 200–207, 2005.
[11] C. Alpert, Z. Li, G.-J. Nam, C. N. Sze, N. Viswanathan, and S. I. Ward. Placement: Hot or not? In Proceedings of IEEE/ACM International Conference on Computer- Aided Design, pages 283–290, 2012.
[12] S. R. Arikati and R. Varadarajan. A signature based approach to regularity extraction. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 542–545, 1997.
[13] B. Beizer. Software Testing Techniques. Dreamtech Press, 2002.
[14] U. Brenner, M. Struzyna, and J. Vygen. BonnPlace: Placement of leading-edge chips by advanced combinatorial algorithms. IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, 27(9):1607–1620, September 2008.
[15] S. Brooks and B. Morgan. Optimization using simulated annealing. The Statistician, pages 241–257, 1995.
[16] I. S. Bustany, D. Chinnery, J. R. Shinnerl, and V. Yutsis. ISPD 2015 benchmarks with fence regions and routing blockages for detailed-routing-driven placement. In Proceedings of ACM International Symposium on Physical Design, pages 157–164, 2015.
[17] T. F. Chan, J. Cong, and K. Sze. Multilevel generalized force-directed method for circuit placement. In Proceedings of ACM International Symposium on Physical Design, pages 185–192, 2005.
[18] L. Chen, A. Hung, H. Chen, E. Tsai, S. Chen, M. Ku, and C. Chen. Using multi-bit flip-flop for clock power saving by designcompiler. Proceedings of Synopsys Users Group, 2010.
[19] T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. A high-quality analytical placer considering preplaced blocks and density constraints. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 187–192, 2006.
[20] T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang. NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(7):1228–1240, July 2008.
[21] M. Cho, H. Xiang, H. Ren, M. M. Ziegler, and R. Puri. LatchPlanner: Latch placement algorithm for datapath-oriented high-performance VLSI designs. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 342–348, 2013.
[22] S. Chou, M.-K. Hsu, and Y.-W. Chang. Structure-aware placement for datapathintensive circuit designs. In Proceedings of ACM/IEEE Design Automation Conference, pages 762–767, 2012.
[23] A. Chowdhary, S. Kale, P. Saripella, N. Sehgal, and R. Gupta. A general approach for regularity extraction in datapath circuits. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 332–339, 1998.
[24] A. Chowdhary, S. Kale, P. K. Saripella, N. K. Sehgal, and R. K. Gupta. Extraction of functional regularity in datapath circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 18(9):1279–1296, 1999.
[25] A. Chowdhary, K. Rajagopal, S. Venkatesan, T. Cao, V. Tiourin, Y. Parasuram, and B. Halpin. How accurately can we model timing in a placement engine? In Proceedings of ACM/IEEE Design Automation Conference, pages 801–806, 2005.
[26] C. Chu and Y.-C. Wong. FLUTE: Fast lookup table based rectilinear steiner minimal tree algorithm for VLSI design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(1):70–83, 2008.
[27] Y.-L. Chuang, G.-J. Nam, C. J. Alpert, Y.-W. Chang, J. Roy, and N. Viswanathan. Design-hierarchy aware mixed-size placement for routability optimization. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 663–668, 2010.
[28] N. K. Darav, A. Kennings, A. F. Tabrizi, D.Westwick, and L. Behjat. Eh? Placer: A high-performance modern technology-driven placer. ACM Transactions on Design Automation of Electronic Systems, 21(3):37, 2016.
[29] N. K. Darav, A. Kennings, D. Westwick, and L. Behjat. High performance global placement and legalization accounting for fence regions. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 514–519, 2015.
[30] S. Das and S. P. Khatri. An efficient and regular routing methodology for datapath designs using net regularity extraction. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(1):93–101, 2002.
[31] C. Deng, Y.-C. Cai, and Q. Zhou. Register clustering methodology for low power clock tree synthesis. Journal of Computer Science and Technology, 30(2):391–403, 2015.
[32] C. Guth, V. Livramento, R. Netto, R. Fonseca, J. L. G¨untzel, and L. Santos. Timingdriven placement based on dynamic net-weighting for e cient slack histogram compression. In Proceedings of ACM International Symposium on Physical Design, pages 141–148, 2015.
[33] X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young. Ripple: An e ective routability-driven placer by iterative cell movement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 74–79, 2011.
[34] R. B. Hitchcock Sr. Timing verification and the timing analysis program. In Proceedings of ACM/IEEE Design Automation Conference, pages 594–604, 1982.
[35] R. Ho, K. W. Mai, and M. A. Horowitz. The future of wires. Proceedings of the IEEE, 89(4):490–504, 2001.
[36] C.-C. Hsu, Y.-C. Chen, and M. P.-H. Lin. In-placement clock-tree aware multi-bit flip-flop generation for power optimization. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 592–598, 2013.
[37] C.-C. Hsu, M. P.-H. Lin, and M. Hashimoto. Latch clustering for minimizing detection-to-boosting latency toward low-power resilient circuits. In Proceedings of ACM/IEEE International Workshop on System Level Interconnect Prediction, pages 1–6, 2016.
[38] M.-K. Hsu, Y.-W. Chang, and V. Balabanov. TSV-aware analytical placement for 3D IC designs. In Proceedings of ACM/IEEE Design Automation Conference, pages 664–669, 2011.
[39] M.-K. Hsu, Y.-F. Chen, C.-C. Huang, T.-C. Chen, and Y.-W. Chang. Routabilitydriven placement for hierarchical mixed-size circuit designs. In Proceedings of ACM/IEEE Design Automation Conference, pages 1–6, 2013.
[40] M.-K. Hsu, Y.-F. Chen, C.-C. Huang, T.-H. Lin, T.-C. Chen, and Y.-W. Chang. NTUplace4h: A novel routability-driven placement algorithm for hierarchical mixed-size circuit designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(12):1914–1927, 2014.
[41] M.-K. Hsu, S. Chou, T.-H. Lin, and Y.-W. Chang. Routability-driven analytical placement for mixed-size circuit designs. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 80–84, 2011.
[42] C.-C. Huang, C.-H. Chiou, K.-H. Tseng, and Y.-W. Chang. Detailed-routing-driven analytical standard-cell placement. In Proceedings of IEEE/ACM Asia and South Pacific Design Automation Conference, pages 378–383, 2015.
[43] C.-C. Huang, H.-Y. Lee, B.-Q. Lin, S.-W. Yang, C.-H. Chang, S.-T. Chen, and Y.- W. Chang. Detailed-routability-driven analytical placement for mixed-size designs with technology and region constraints. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 508–513, 2015.
[44] T.-W. Huang and M. D. Wong. OpenTimer: a high-performance timing analysis tool. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 895–902, 2015.
[45] E. O. Hwang. Digital logic and microprocessor design. La Sierra University, Riverside, 2005.
[46] I. H.-R. Jiang, C.-L. Chang, and Y.-M. Yang. Integra: Fast multibit flip-flop clustering for clock power saving. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(2):192–204, 2012.
[47] Z.-W. Jiang, B.-Y. Su, and Y.-W. Chang. Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. In Proceedings of ACM/IEEE Design Automation Conference, pages 167–172, 2008.
[48] A. B. Kahng. New game, new goal posts: A recent history of timing closure. In Proceedings of ACM/IEEE Design Automation Conference, pages 1–6, 2015.
[49] A. B. Kahng, J. Li, and L. Wang. Improved flop tray-based design implementation for power reduction. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, page 20, 2016.
[50] A. B. Kahng and Q. Wang. Implementation and extensibility of an analytic placer. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(5):734–747, May 2005.
[51] A. Kennings, N. K. Darav, and L. Behjat. Detailed placement accounting for technology constraints. In International Conference on Very Large Scale Integration, pages 1–6, 2014.
[52] M.-C. Kim, J. Hu, D.-J. Lee, and I. L. Markov. A SimPLR method for routabilitydriven placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 67–73, 2011.
[53] M.-C. Kim, J. Hu, J. Li, and N. Viswanathan. ICCAD-2015 CAD contest in incremental timing-driven placement and benchmark suite. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 921–926, 2015.
[54] J. M. Kleinhans, G. Sigl, F. M. Johannes, and K. J. Antreich. GORDIAN: VLSI placement by quadratic programming and slicing optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 10(3):356–365, 1991.
[55] A. Klose and A. Drexl. Facility location models for distribution system design. European Journal of Operational Research, 162(1):4–29, 2005.
[56] J. Kozhaya, P. Restle, and H. Qian. Myth busters: microprocessor clocking is from mars, asics clocking is from venus. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 271–275, 2011.
[57] C. E. Leiserson and J. B. Saxe. Retiming synchronous circuitry. Algorithmica, 6(1):5–35, 1991.
[58] M. P.-H. Lin, C.-C. Hsu, and Y.-C. Chen. Clock-tree aware multibit flip-flop generation during placement for power optimization. IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, 34(2):280–292, 2015.
[59] W.-H. Liu, W.-C. Kao, Y.-L. Li, and K.-Y. Chao. NCTU-GR 2.0: Multi-threaded collision-aware global routing with bounded-length maze routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(5):709–722, 2013.
[60] V. S. Livramento. Timing Optimization During the Physical Synthesis of Cell-Based VLSI Circuits. Federal University of Santa Catarina, 2016.
[61] M. Marek-Sadowska and S. P. Lin. Timing driven placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 94–97, 1989.
[62] I. L. Markov, J. Hu, and M.-C. Kim. Progress and challenges in VLSI placement research. Proceedings of the IEEE, 103(11):1985–2003, 2015.
[63] F. Mo and R. K. Brayton. Regular Fabrics in Deep Sub-Micron Integrated-Circuit Design. Springer Science & Business Media, 2004.
[64] W. C. Naylor, R. Donelly, and L. Sha. US patent 6,301,693: Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer. 2001.
[65] R. X. Nijssen and J. A. Jess. Two-dimensional datapath regularity extraction. In IFIP Workshop on Logic and Architecture Synthesis, pages 110–117, 1996.
[66] M. Pan, N. Viswanathan, and C. Chu. An e cient and e ective detailed placement algorithm. In Proceedings of IEEE/ACM International Conference on Computer- Aided Design, pages 48–55, 2005.
[67] D. Papa, C. Alpert, C. Sze, Z. Li, N. Viswanathan, G.-J. Nam, and I. Markov. Physical synthesis with clock-network optimization for large systems on chips. IEEE Micro, 31(4):51–62, 2011.
[68] D. A. Papa, T. Luo, M. D. Mo tt, C. N. Sze, Z. Li, G.-J. Nam, C. J. Alpert, and I. L. Markov. RUMBLE: an incremental timing-driven physical-synthesis optimization algorithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(12):2156–2168, 2008.
[69] R. Puri, L. Stok, and S. Bhattacharya. Keeping hot chips cool. In Proceedings of ACM/IEEE Design Automation Conference, pages 285–288, 2005.
[70] K. Rajagopal, T. Shaked, Y. Parasuram, T. Cao, A. Chowdhary, and B. Halpin. Timing driven force directed placement with physical net constraints. In Proceedings of ACM International Symposium on Physical Design, pages 60–66, 2003.
[71] D. S. Rao and F. J. Kurdahi. Partitioning by regularity extraction. In Proceedings of ACM/IEEE Design Automation Conference, pages 235–238, 1992.
[72] H. Ren, D. Z. Pan, and D. S. Kung. Sensitivity guided net weighting for placementdriven synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(5):711–721, 2005.
[73] J. A. Roy, N. Viswanathan, G.-J. Nam, C. J. Alpert, and I. L. Markov. CRISP: Congestion reduction by iterated spreading during placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 357–362, 2009.
[74] S. M. Sait and H. Youssef. VLSI Physical Design Automation: Theory and Practice. McGraw-Hill, 1995.
[75] C. Sechen and A. Sangiovanni-Vincentelli. The TimberWolf placement and routing package. IEEE Journal of Solid-State Circuits, 20(2):510–522, 1985.
[76] R. Sedgewick and K. Wayne. Algorithms. Pearson Education, 2011.
[77] P. Spindler, U. Schlichtmann, and F. M. Johannes. Abacus: Fast legalization of standard cell circuits with minimal movement. In Proceedings of ACM International Symposium on Physical Design, pages 47–53, 2008.
[78] Synopsys. IC Compiler User Guide: Implementation, 2013.
[79] H. Szu and R. Hartley. Fast simulated annealing. Physics letters A, 122(3):157–162, 1987.
[80] K. Tsota, C. Koh, and V. Balakrishnan. Guiding global placement with wire density. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 212–217, 2008.
[81] N. Viswanathan, G.-J. Nam, J. A. Roy, Z. Li, C. J. Alpert, S. Ramji, and C. Chu. ITOP: integrating timing optimization within placement. In Proceedings of ACM International Symposium on Physical Design, pages 83–90, 2010.
[82] C.-K. Wang, C.-C. Huang, S. S.-Y. Liu, C.-Y. Chin, S.-T. Hu, W.-C. Wu, and H.- M. Chen. Closing the gap between global and detailed placement: Techniques for improving routability. In Proceedings of ACM International Symposium on Physical Design, pages 149–156, 2015.
[83] S.-H. Wang, Y.-Y. Liang, T.-Y. Kuo, and W.-K. Mak. Power-driven flip-flop merging and relocation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(2):180–191, 2012.
[84] S. Ward, D. Ding, and D. Z. Pan. PADE: A high-performance placer with automatic datapath extraction and evaluation through high dimensional data learning. In Proceedings of ACM/IEEE Design Automation Conference, pages 756–761, 2012.
[85] S. I. Ward, M.-C. Kim, N. Viswanathan, Z. Li, C. Alpert, E. E. Swartzlander Jr, and D. Z. Pan. Keep It Straight: Teaching placement how to better handle designs with datapaths. In Proceedings of ACM International Symposium on Physical Design, pages 79–86, 2012.
[86] S. I. Ward, N. Viswanathan, N. Y. Zhou, C. C. Sze, Z. Li, C. J. Alpert, and D. Z. Pan. Clock power minimization using structured latch templates and decision tree induction. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 599–606, 2013.
[87] J. Warnock, Y.-H. Chan, S. Carey, H. Wen, P. Meaney, G. Gerwig, H. H. Smith, Y. Chan, J. Davis, P. Bunce, et al. Circuit and physical design implementation of the microprocessor chip for the zenterprise system. IEEE Journal of Solid-State Circuits, 47(1):151–163, 2012.
[88] D. Wendel, J. Barth, D. Dreps, S. Islam, J. Pille, and J. Tierno. IBM POWER7 processor circuit design. IBM Journal of Research and Development, 55(3):1–1, 2011.
[89] N. H. Weste and K. Eshraghian. Principles of CMOS VLSI Design, volume 188. Addison-Wesley New York, 1985.
[90] G. Wu, Y. Xu, D. Wu, M. Ragupathy, Y.-y. Mo, and C. Chu. Flip-flop clustering by weighted K-means algorithm. In Proceedings of ACM/IEEE Design Automation Conference, page 82, 2016.
[91] H. Xiang, M. Cho, H. Ren, M. Ziegler, and R. Puri. Network flow based datapath bit slicing. In Proceedings of ACM International Symposium on Physical Design, pages 139–146, 2013.
[92] C. Xu, G. Luo, P. Li, Y. Shi, and I. H.-R. Jiang. Analytical clustering score with application to postplacement register clustering. ACM Transactions on Design Automation of Electronic Systems, 21(3):41, 2016.
[93] V. Yutsis, I. S. Bustany, D. Chinnery, J. Shinnerl, and W.-H. Liu. ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement. In Proceedings of ACM International Symposium on Physical Design, pages 161–168, 2014.
[94] Y. Zhang and C. Chu. CROP: Fast and e ective congestion refinement of placement. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 344–350, 2009.
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/68274-
dc.description.abstract擺置是實體設計中最重要的步驟之一,並且已經被研究了數十年。雖然擺置是傳統的設計自動化問題,當代電路設計的挑戰(包括可繞度、高效能、低功耗)已經大幅改變了擺置問題。因此,在擺置的過程中,通常需要考慮各種不同的目標以及限制。例如可繞度:隨著元件尺寸持續縮小,各式各樣的設計規範需要在細部繞線階段考慮。幸運的是,其中一些規範能於擺置階段簡潔地寫成限制式,滿足此種限制能大幅度得提高細部繞線的可繞度。除了考慮可繞度而產生的限制外,考慮各元件特性而衍生之區域限制也對現今電路擺置技術產生新的議題。為提升效能,擺置技術為現今奈米積體電路設計之時脈週期瓶頸,如何有效率地達成時序收斂也為擺置技術的最關鍵挑戰。另一方面,為因應現今於高性能處理器中極速高升的運算複雜度,如何有效萃取資料路徑並於電路擺置階段考慮資料路徑為當代實體設計師需要面對的課題。為了有效取捨電路之高效能與低功耗,閂鎖器叢集及擺置也高性能處理器之關鍵技術,特別針對千兆赫茲電路,降低閂鎖器於擺置階段對於時序之影響將直接決定晶片之效能,並且,閂鎖器叢集的個數也直接決定時鐘門控的能力,進而影響晶片之功耗。因此,在當代高效能之電路設計中,考慮可繞度、區域限制、時序收斂、資料路徑、以及閂鎖器叢集及擺置之方法將愈來愈為重要。
這份論文中,我們針對上述當代高效能電路設計中所面臨之關鍵課題提出了數個全新的擺置演算法。這份論文首先介紹一考慮可繞度與區域限制之解析擺置器,不同於先前的研究大多利用剩餘空間的分配或是擴大元件尺寸來減少繞線擁擠區域,我們提出一加權線長模型來減少擺置階段之繞線擁擠區域面積並同時降低總繞線長度。接著,我們提出一以時序為導向之擺置演算法,相較於過去傳統的時序最佳化擺置方法主要考量訊號延宕之問題,我們提出一系列處理訊號速決之演算法框架,並進一步探討如何於此框架下不加遽訊號延宕情形。針對資料路徑最佳化,我們首先拓展傳統位元切割對於資料路徑萃取之定義,並依此定義提出了於二分圖上之邊覆蓋演算法來萃取更多有利於擺置之資料路徑,我們亦提出一考量資料路徑之解析擺置器以驗證萃取之資料路徑有效性。最後,針對閂鎖器擺置最佳化,我們採用一混和整數線性規劃有效解決閂鎖器叢集及擺置問題以期降低其於擺置階段對於時序之影響以及減少閂鎖器叢集的個數並達成低功耗。
zh_TW
dc.description.abstractPlacement is a classical problem in physical design that has been studied for several decades.
In recent years, however, modern design considerations (including performance, routability, and power) have reshaped the placement problem comprehensively. In this dissertation, we focus on addressing these considerations in respect to resolving the following critical challenges during placement: (1) datapath, (2) technology and fence-region constraints, (3) timing closure, and (4) latch clustering. To improve circuit performance, datapath-aware placement for physical regularity has shown great promise in recent work. However, the lack of widespread industrial adoption indicates this research topic is still unsolved, mainly because existing datapath extraction techniques cannot provide general physical alignment constraints to the following datapath-aware placement. Therefore, it is critical to develop a unified framework for datapath extraction and placement to improve circuit performance. To improve routability, as technology nodes continuously shrink to $10nm$ and below, various complex design rules should be satisfied in detailed routing (after placement). Fortunately, some of these rules can be formulated as technology constraints in placement. Therefore, considering technology constraints in placement could optimize routability in detailed routing. In addition to technology constraints for routability, fence regions also impose constraints in placement for better performance. For example, chip designers may specify a fence region for placing certain cells to improve circuit performance. Violating these fence-region constraints could result in inferior performance. On the other hand, minimizing the interconnection delay during placement is another way to improve circuit performance, mainly because the delay caused by thinner and more resistive wires has become a major bottleneck for timing closure in the physical design flow. Finally, to achieve better power and performance trade-off, latch clustering and placement play important roles in physical design, as the number of latch clusters determines the effectiveness for clock gating (i.e., dynamic power saving) and the placement determines the timing disruption for the clustering process. Therefore, it is important to develop placement optimization techniques for the aforementioned critical challenges in modern circuit designs.
In this dissertation, we develop a new physical synthesis framework, especially focusing on the placement stage, to resolve the critical challenges of modern circuit designs. (1) We first start with a state-of-the-art analytical placement engine. With this placement engine, we propose a bit slicing datapath extraction algorithm, which provides alignment guidance to the engine to achieve better routed wirelength, and thus better performance. In this extraction algorithm, we first extend the definition of a traditional bit slicing problem. According to the extended definition, we propose a balanced bipartite edge-cover algorithm to effectively extract placement-friendly datapath bit slices for better performance. (2) To improve routability and further optimize performance, we simultaneously integrate a novel weighted wirelength model and the fence-region consideration into the placement engine. (3) To further achieve timing closure, we present a series of timing-driven placement algorithms after the analytical placement engine, where the presented algorithms mainly target on moving sequential logics (e.g., flip-flops and latches) to eliminate timing violations. (4) Lastly, for latch clustering and placement, we present two mixed integer linear programming models to simultaneously minimize the number of clusters and the disturbance of latch locations, and thus achieve better power and performance trade-off. Experimental results show the effectiveness and efficiency of our proposed algorithms.
en
dc.description.provenanceMade available in DSpace on 2021-06-17T02:16:21Z (GMT). No. of bitstreams: 1
ntu-106-F01943097-1.pdf: 5428159 bytes, checksum: bc8589f1b9a27f483b84f7f0d357123d (MD5)
Previous issue date: 2017
en
dc.description.tableofcontentsAcknowledgements vii
Abstract (Chinese) vii
Abstract x
List of Tables xvii
List of Figures xix
Chapter 1. Introduction 1
1.1 Introduction to Modern Circuit Placement . . . . . . . . . . . . . . . . . . . 1
1.1.1 Placement in Physical Design . . . . . . . . . . . . . . . . . . . . . . 1
1.1.2 Placement Impact on Performance . . . . . . . . . . . . . . . . . . . . 4
1.1.3 Placement Impact on Routability . . . . . . . . . . . . . . . . . . . . 4
1.1.4 Placement Impact on Power . . . . . . . . . . . . . . . . . . . . . . . 5
1.2 Modern Placement Challenges . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.2.1 Datapath . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
1.2.2 Routability with Technology & Region Constraints . . . . . . . . . . . 8
1.2.3 Timing Closure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
1.2.4 Latch Clustering & Placement . . . . . . . . . . . . . . . . . . . . . . 10
1.3 Overview of the Dissertation . . . . . . . . . . . . . . . . . . . . . . . . . . 11
1.3.1 Datapath Extraction & Placement . . . . . . . . . . . . . . . . . . . . 12
1.3.2 Placement with Technology & Region Constraints . . . . . . . . . . . 12
1.3.3 Timing-Driven Detailed Placement . . . . . . . . . . . . . . . . . . . 13
1.3.4 Latch Clustering & Placement . . . . . . . . . . . . . . . . . . . . . . 13
1.4 Organization of the Dissertation . . . . . . . . . . . . . . . . . . . . . . . . . 14
Chapter 2. Datapath Extraction & Placement 15
2.1 Our Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.2 Preliminaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.2.1 Bit-Slice Path Properties and Feasibility . . . . . . . . . . . . . . . . . 17
2.2.2 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.3 Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.3.1 Algorithm Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.3.2 Balanced Bipartite Edge-Cover Algorithm . . . . . . . . . . . . . . . 20
2.3.3 Path-Oriented Refinement with Simulated Annealing . . . . . . . . . . 24
2.3.4 Complexity Analysis of the Proposed Algorithm . . . . . . . . . . . . 27
2.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
2.4.1 Slicing Comparisons for Datapaths with Same Widths . . . . . . . . . 29
2.4.2 Slicing Comparisons for Datapaths with Dierent Widths . . . . . . . 30
2.4.3 Slicing Comparisons on Placement . . . . . . . . . . . . . . . . . . . 33
Chapter 3. Placement with Technology & Region Constraints 35
3.1 Preliminaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
3.1.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
3.1.2 Our Analytical Placement Framework . . . . . . . . . . . . . . . . . . 41
3.2 The Proposed Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
3.2.1 Algorithm Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . 42
3.2.2 Fence-Region-Aware Clustering . . . . . . . . . . . . . . . . . . . . . 43
3.2.3 Two-Round Quadratic Placement . . . . . . . . . . . . . . . . . . . . 45
3.2.4 Prefixed Architecture Handling . . . . . . . . . . . . . . . . . . . . . 47
3.2.5 Dynamic Cell Inflation with Congestion Removal . . . . . . . . . . . 52
3.2.6 Routability-Driven Wirelength Model . . . . . . . . . . . . . . . . . . 55
3.2.7 Fence-Region-Aware Wirelength Model . . . . . . . . . . . . . . . . . 59
3.2.8 Fence-Region-Aware Density Model . . . . . . . . . . . . . . . . . . 59
3.2.9 Dynamic Penalty Increasing Strategy . . . . . . . . . . . . . . . . . . 61
3.2.10 Legalization Considering Technology Constraints . . . . . . . . . . . 63
3.2.11 Routability-Aware Detailed Placement . . . . . . . . . . . . . . . . . 65
3.3 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
3.3.1 ISPD’14 Placement Contest Benchmarks . . . . . . . . . . . . . . . . 66
3.3.2 ISPD’15 Placement Contest Benchmarks . . . . . . . . . . . . . . . . 70
3.4 Observations and Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Chapter 4. Timing-Driven Detailed Placement 77
4.1 Our Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
4.2 Preliminaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79
4.3 Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
4.3.1 Algorithm Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
4.3.2 Clock-tree Reconnection . . . . . . . . . . . . . . . . . . . . . . . . . 81
4.3.3 Data-signal Synchronization . . . . . . . . . . . . . . . . . . . . . . . 83
4.3.4 Clock-skew Scheduling . . . . . . . . . . . . . . . . . . . . . . . . . 85
4.3.5 Timing-driven Legalization . . . . . . . . . . . . . . . . . . . . . . . 87
4.3.6 Complexity Analysis of the Proposed Algorithm . . . . . . . . . . . . 88
4.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
Chapter 5. Latch Clustering & Placement 93
5.1 Major Challenges in Latch Clustering & Placement . . . . . . . . . . . . . . 93
5.2 Our Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
5.3 Preliminaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
5.3.1 Facility Location Allocation Problem . . . . . . . . . . . . . . . . . . 97
5.3.2 Latch Cluster Template Construction . . . . . . . . . . . . . . . . . . 98
5.3.3 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
5.4 Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
5.4.1 Algorithm Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
5.4.2 Latch Clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
5.4.3 Latch Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
5.5 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107
5.5.1 Comparisons of Latch Clustering Results . . . . . . . . . . . . . . . . 108
5.5.2 Eectiveness of Latch Placement . . . . . . . . . . . . . . . . . . . . 110
Chapter 6. Concluding Remarks and Future Work 113
6.1 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113
6.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115
Bibliography 119
Vita 131
Publication List 133
dc.language.isoen
dc.title針對當代電路設計之擺置最佳化zh_TW
dc.titlePlacement Optimization for Modern Circuit Designsen
dc.typeThesis
dc.date.schoolyear106-1
dc.description.degree博士
dc.contributor.oralexamcommittee王廷基(Ting-Chi Wang),江蕙如(Iris Hui-Ru Jiang),林家民(Jai-Ming Lin),陳宏明(Hung-Ming Chen),黃婷婷(Ting-Ting Hwang)
dc.subject.keyword實體設計,擺置,可繞度,區域限制,時序,資料路徑,閂鎖器叢集,zh_TW
dc.subject.keywordPhysical Desig,Placement,Routability,Fence Region,Timing Closure,Datapath,Latch Clustering,en
dc.relation.page134
dc.identifier.doi10.6342/NTU201704250
dc.rights.note有償授權
dc.date.accepted2017-10-03
dc.contributor.author-college電機資訊學院zh_TW
dc.contributor.author-dept電子工程學研究所zh_TW
顯示於系所單位:電子工程學研究所

文件中的檔案:
檔案 大小格式 
ntu-106-1.pdf
  目前未授權公開取用
5.3 MBAdobe PDF
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved