Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
    • 指導教授
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 電機資訊學院
  3. 電子工程學研究所
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/64577
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor陳中平
dc.contributor.authorYu-Shun Wangen
dc.contributor.author王裕舜zh_TW
dc.date.accessioned2021-06-16T17:55:41Z-
dc.date.available2013-08-20
dc.date.copyright2012-08-20
dc.date.issued2012
dc.date.submitted2012-08-10
dc.identifier.citation[1] Y.-S. Wang, M.-H. Hsieh, C.-M. Liu, Y.-C. Wu, B.-F. Lin, H.-C. Chiu, and C. C.-P. Chen,
“A 1.2V 6.4GHz 181ps 64-bit CD domino adder with DLL measurement technique,” in Proc.
IEEE Int. Symposium on Circuits and Systems (ISCAS), pp. 1423–1426, May 2011.
[2] Y.-S. Wang, M.-H. Hsieh, C.-M. Liu, C.-W. Liu, J. C.-M. Li, and C. C.-P. Chen, “An at-speed
self-testable technique for the high speed domino adder,” in Proc. IEEE Custom Integrated
Circuits Conference (CICC), pp. 1–4, Sept. 2011.
[3] Y.-S. Wang, M.-H. Hsieh, J. C.-M. Li, and C. C.-P. Chen, “An At-speed Test Technique for
High-speed High-order Adder by a 6.4-GHz 64-bit Domino Adder Example,” accepted in IEEE
Transactions on Circuits and systems - I:Regular Papers.
[4] Y.-S. Wang, M.-H. Hsieh, Y.-C. Wu, C.-M. Liu, H.-C. Chiu, B.-F. Lin, and C. C.-P. Chen,
“A 12 Gb/s chip-to-chip AC coupled transceiver,” in Proc. IEEE Int. Symposium on Circuits
and Systems (ISCAS), pp. 1692–1695, May 2011.
[5] N. Weste and D. Harris, CMOS VLSI design: a circuits and systems perspective, third edition.
Addison-Wesley, 2005.
[6] J. Sklansky, “Conditional-sum addition logic,” IRE Trans. Electronic Computers, vol. EC-9,
pp. 226–231, June 1960.
[7] I. E. Sutherland, R. F. Sproull, and D. F. Harris, Logical effort: designing fast CMOS circuits.
San Mateo, CA: Morgan Kaufmann, 1999.
[8] P. M. Kogge and H. S. Stone, “A parallel algorithm for efficient solution of a general class of
recursive equations,” IEEE Trans. Computers, vol. 22, pp. 786–793, Aug. 1973.
[9] J. Park, H. C. Ngo, J. A. Silberman, and S. H. Dhong, “470 ps 64-b parallel binary adder [for
CPU chip],” in Symp. VLSI Circuits Dig. Tech. Papers, pp. 192–193, June 2000.
131
[10] G. Yee and C. Sechen, “Clock-delayed domino for adder and combinational logic design,” in
Proc. IEEE/ACM Int. Conf. Computer Design, pp. 332–337, Oct. 1996.
[11] S. Kao, R. Zlatanovici, and B. Nikolic, “A 240ps 64b carry-lookahead adder in 90-nm CMOS,”
in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 1735–1744, Feb.
2006.
[12] S. Mathew, M. Anders, B. Bloechel, T. Nguyen, R. Krishnamurthy, and S. Borkar, “A 4 GHz
300 mW 64 b integer execution ALU with dual supply voltages in 90 nm CMOS,” in Proc.
IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, vol. 519, pp. 162–163, Feb.
2004.
[13] X. Lin, R. Press, J. Rajski, P. Reuter, T. Rinderknecht, B. Swanson, and N. Tamarapalli,
“High-frequency, at-speed scan testing,” IEEE Des. Test Comput., vol. 20, pp. 17–25, Sept.
2003.
[14] N. Tendolkar, R. Raina, R. Woltenberg, X. Lin, B. Swanson, and G. Aldrich, “Novel techniques
for achieving high at-speed transition fault test coverage for motorola’s microprocessor
based on PowerPC instruction set architecture,” in Proc. VLSI Test Symp., pp. 3–8, 2002.
[15] J. A. Waicukauski, E. Lindbloom, B. K. Rosen, and V. S. Iyengar, “Transition fault simulation,”
IEEE Des. Test Comput., vol. 4, pp. 32–38, 1987.
[16] S. C. hua Ma, S. C. hua Ma, and S. C. hua Ma, “Testing BiCMOS and dynamic CMOS logic,”
Center for Reliable Computing Technical Repor, no. 95-1, 1995. Stanford University.
[17] R. Rajsuman, “Domino scan architecture and domino scan flip-flop for the testing of domino
and hybrid CMOS circuit,” US patent, Feb. 2 1999.
[18] S. Kajihara and T. Sasao, “On the adders with minimum tests,” in Proc. Asian Test Symp.,
pp. 10–15, 1997.
[19] C. Wu and P. Cappello, “Easily testable iterative logic arrays,” IEEE Trans. Computers,
vol. 39, pp. 640–652, 1990.
[20] S. Knowles, “A family of adders,” in Proc. 14th IEEE Symp. Computer Arithmetic, pp. 277–
281, Apr. 1999.
[21] R. Srinivasan, S. Gupta, and M. A. Breuer, “An efficient partitioning strategy for pseudoexhaustive
testing,” in Proc. Design Automation Conf. (DAC), pp. 242–248, 1993.
132
[22] E. J. McCluskey, “Verification testing-A pseudo exhaustive test technique,” IEEE Trans.
Comput., vol. 33, pp. 541–546, June 1984.
[23] L.-T. Wang, C.-W. Wu, and X. Wen, VLSI test principles and architectures: design for
testability. USA: Morgan Kaufmann, 2006.
[24] C. N. Chuang and S. I. Liu, “A 0.5-5 GHz wide-range multi-phase DLL with a calibrated
charge pump,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 54, pp. 939–943, Nov. 2007.
[25] S. W. Golomb, Shift Register Sequence. CA: Aegean Park Press, Laguna Hills, 1982.
[26] J. Wakerly, Digital Design Principles and Practices, 3rd ed. Englewood Cliffs, NJ: Prentice
Hall, 2000.
[27] E. J. McCluskey and S. Bozorgui-Nesbat, “Design for autonomous test,” IEEE Trans. Comput.,
vol. C-30, pp. 860–875, Nov. 1981.
[28] A. Lempel and M. Cohn, “Design of universal test sequences for VLSI,” IEEE Trans. Inform.
Theory, vol. 31, pp. 10–17, Jan. 1985.
[29] C. L. Chen, “Linear dependencies in linear feedback shift registers,” IEEE Trans. Comput.,
vol. C-35, pp. 1086–1088, Dec. 1986.
[30] S. Wang and S. K. Gupta, “LT-RTPG: A new test-per-scan BIST TPG for low heat dissipation,”
in Proc. Int. Test Conf., pp. 85–94, 1999.
[31] M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital Systems Testing and Testable
Design. Piscataway, NJ: IEEE Press, 1994.
[32] M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for Digital, Memory and
Mixed-Signal VLSI Circuits. New York: Springer Science, 2000.
[33] N. Tendulkar, R. Raina, R. Woltenburg, X. Lin, B. Swanson, and G. Aldrich, “Novel techniques
for achieving high at-speed transition fault coverage for Motorola’s microprocessors
based on PowerPC instruction set architecture,” in Proc. IEEE VLSI Test Symp., pp. 3–8,
Apr. 2002.
[34] F. F. Hsu, K. M. Butler, and J. H. Patel, “A case study of the Illinois scan architecture,” in
Proc. IEEE Int. Test Conf., pp. 538–547, Oct. 2001.
133
[35] J. A. Waicukauski, E. Lindbloom, B. K. Rosen, and V. S. Iyengar, “Transition fault simulation,”
IEEE Des. Test Comput., vol. 4, pp. 32–38, Apr. 1987.
[36] J. Savir and S. Patil, “Scan-based transition test,” IEEE Trans. Comput.-Aided Des., vol. 12,
pp. 1232–1241, Aug. 1993.
[37] T. Han and D. A. Carlson, “Fast area efficient VLSI adders,” in Proc. 8th Symp. Computer
Arithmetic, pp. 49–56, May 1987.
[38] S. Mathew, R. Krishnamurthy, M. Anders, R. Rios, K. Mistry, and K. Soumyanath, “Sub-500
ps 64b ALUs in 0.18 m SOI/bulk CMOS: Design and scaling trends,” in Proc. IEEE Int.
Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 318–319, Feb. 2001.
[39] S. Naffziger, “A sub-nanosecond 0.5 m 64b adder design,” in Proc. IEEE Int. Solid-State
Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 210–211, Feb. 1996.
[40] Y. Shimazaki, R. Zlatanovici, and B. Nikolic, “A shared-well dual-supply-voltage 64-bit ALU,”
IEEE J. Solid-State Circuits, vol. 39, pp. 494–500, Mar. 2004.
[41] Z. IJarzilai, D. Coppersmith, and A. L. Rosenberg, “Exhaustive generation of bit patterns
with applications to VLSI self-testing,” IEEE Trans. Comput., vol. C-32, pp. 190–194, Feb.
1983.
[42] A. Chakraborty, K. Duraisami, A. Sathanur, P. Sithambaram, L. Benini, A. Macii, E. Macii,
and M. Poncino, “Dynamic thermal clock skew compensation using tunable delay buffers,” in
Proc. International Symposium on Low Power Electronics and Design, June 2008.
[43] H.-J. Lee, “Semiconductor device with speed binning test circuit and test method thereof,”
US patent, no. 7260754.
[44] P. H. Bardell, W. H. McAnney, and J. Savir, Built-In Test for VLSI: Pseudorandom Techniques.
Somerset, NJ: John Wiley Sons, 1987.
[45] E. J. McCluskey, Logic Design Principles: With Emphasis on Testable Semiconductor Circuits.
Englewood Cliffs, NJ: Prentice Hall, 1986.
[46] K. Cheng and H. Chen, “Delay testing for non-robust untestable circuits,” in IEEE Int. Test
Conf., pp. 954–961, 1993.
134
[47] D. P. Vasudevan, P. K. Lala, and J. P. Parkerson, “Self-checking carry-select adder design
based on two-rail encoding,” IEEE Trans. on Circuits and Systems, vol. 54, pp. 2696–2705,
Dec. 2007.
[48] M. Nicolaidis, “Carry checking/parity prediction adders and ALUs,” IEEE Trans. on VLSI
Systems, vol. 11, pp. 121–128, Feb. 2003.
[49] G. Cardarilli, M. Ottavi, S. Pontarelli, M. Re, and A. Salsano, “Error detection in Signed
Digit Arithmetic circuit with parity checker,” in Proc. 18th IEEE Int. Symp. Defect and Fault
Tolerance in VLSI Systems, pp. 401–408, Nov. 2003.
[50] D. G. Nikolos, D. Nikolos, H. T. Vergos, and C. Efstathiou, “An efficient BIST scheme for
high-speed adders,” in Proc. 9th IEEE Int. On-Line Testing Symposium, pp. 89–93, 2003.
[51] L. Luo, J. M. Wilson, S. E. Mick, J. Xu, L. Zhang, and P. Franzon, “3Gb/s AC-coupled
chip-to-chip communication using a low-swing pulse receiver,” in Proc. IEEE Int. Solid-State
Circuits Conf. (ISSCC) Dig. Tech. Papers, vol. 1, pp. 522–614, Feb. 2005.
[52] Q. Gu, Z. Xu, J. Ko, and M.-C. F. Chang, “Two 10Gb/s/pin low-power interconnect methods
for 3D ICs,” in Proc. IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 448–
614, Feb. 2007.
[53] J. Kim, I. Verbauwhede, and M.-C. Chang, “A 5.6-mW 1-Gb/ s/ pair pulsed signaling
transceiver for a fully AC coupled bus,” IEEE J. Solid-State Circuits, vol. 40, pp. 1331–
1340, June 2005.
[54] K. Kanda, D. Antono, K. Ishida, H. Kawaguchi, T. Kuroda, and T. Sakurai, “1.27Gb/s/
pin 3mW/pin wireless superconnect (WSC) interface scheme,” in Proc. IEEE Int. Solid-State
Circuits Conf. (ISSCC) Dig. Tech. Papers, pp. 186–487, 2003.
[55] T. Gabara and W. Fischer, “Capacitive coupling and quantized feedback applied to conventional
CMOS technology,” IEEE J. Solid-State Circuits, vol. 32, pp. 419–427, Mar. 1997.
[56] S. Galal and B. Razavi, “10-Gb/s limiting amplifier and laser/modulator driver in 0.18-?m
CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, pp. 2168–2146, Dec. 2003.
[57] E. M. Cherry and D. E. Hooper, “The design of wide-band transistor feedback amplifiers,”
Proc. Inst. Electr. Eng., vol. 110, pp. 375–389, Feb. 1963.
135
[58] C.-H. Liao and H.-R. Chuang, “A 5.7-GHz 0.18- m CMOS gain-controlled differential LNA
with current reuse for WLAN receiver,” IEEE Microwave and Wireless Components Letters
(MWCL), vol. 13, pp. 526–528, Sept. 2003.
[59] X. Fang, H. Zhang, and E. Sanchez-Sinencio, “Noise Reduction and Linearity Improvement
Technique for a Differential Cascode LNA,” IEEE J. Solid-State Circuits, vol. 43, pp. 588–
599, Mar. 2008.
[60] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 3nd ed. New Jersey:
Prentice Hall, 1997.
[61] S. C. Blaakmeer, E. A. M. Klumperink, D. M. W. Leenaerts, and B. Nauta, “Wideband
balun-LNA with simultaneous output balancing, noise-canceling and distortion-canceling,”
IEEE J. Solid-State Circuits, vol. 43, pp. 1341–1350, 2008.
[62] V. H. Le, S.-K. Han, J.-S. Lee, and S.-G. Lee, “Current-reused ultra low power, low noise LNA
+ Mixer,” IEEE Microwave and Wireless Components Letters (MWCL), vol. 19, pp. 755–757,
Nov. 2009.
[63] T. W. Kim, B. Kim, and K. Lee, “Highly linear receiver front-end adopting MOSFET
transconductance linearization by multiple gated transistors,” IEEE J. Solid-State Circuits,
vol. 39, pp. 223–229, 2004.
[64] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-
State Circuits, vol. 32, pp. 745–759, 1997.
[65] A. V. Do, C. C. Boon, M. A. Do, K. S. Yeo, and A. Cabuk, “A weak-inversion low-power
active mixer for 2.4 GHz ISM band applications,” IEEE Microwave and Wireless Components
Letters (MWCL), vol. 19, pp. 719–721, Nov. 2009.
[66] F. Beffa, R. Vogt, W. Bachtold, E. Zellweger, and U. Lott, “A 6.5-mW receiver front-end for
Bluetooth in 0.18- m CMOS,” in Proc. IEEE Radio Frequency Integrated Circuits (RFIC)
Symp., pp. 391–394, 2002.
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/64577-
dc.description.abstract本論文完整包含了晶片的核心,與晶片對外的通訊介面,可以分為二個主要部分:(1) 高速加法器的設計方法與高速測試技術(2) 有線與無線傳送接收前端設計。
第一部分:數位處理器的效能依賴於加法器的速度,本論文包含了加法器的設計方法與高速測試技術:(1) 自從超大型積體電路的製程技術進入深次微米之後,影響系統效能的因素已經由原先的閘級延遲改變為連線延遲,因此如何減少連線延遲便成為提昇加法器的一個重要目標。(2) 高速測試可以偵測轉態錯誤,但是在過去加法器僅可以做低速功能測試,無法做有效率的高速測試,以致無法保證高速操作下是否可以正常運作。
在本篇論文裡,我們完整探討了如何設計加法器,以及如何有效率的測試加法器:(1) 本篇論文分析了在0.35um、0.18um、90nm 不同製程下,連線延遲的影響將逐漸大於閘級延遲。所以使用混合radix-4 sparse-4 tree 設計方法將比傳統Radix-2 架構有更小的延遲時間181 ps。並藉由時脈落後骨牌電路可以達到更快的操作頻率。此設計方法並可應用於更高位元加法器(>32-bit)。(2) 本篇論文率先在加法器上使用了高速虛擬完全測試技術,僅需要54K 組測試向量即可達到100% 單一黏著性錯誤涵蓋率, 與僅需要13M 組測試向量即可達到100% 轉態錯誤涵蓋率。藉由延遲鎖定迴路(DLL) 量測技術,可以量測延遲時間與達到速度分
類。
第二部分:在提昇晶片中數位處理器的運算速度之後,但晶片間的通訊卻無法達到相對的速度。本論文將從二方面來探討傳送接收器:有線通訊與無線通訊。(1) 隨著多媒體科技的發展,有線高速傳輸成為各種電路中不可或缺的一部分。再者由於手提電子設備的需求,低功率考量也成為重要的一個環節。因此如何設計出高速低功率的傳送接收器成為現今重要的議題。(2) 人們之間的溝通模式由於無線通訊科技的便利而有巨大的改變。除了手機之外,近年來無線資料傳輸模式亦引起相當的注意。在無線通訊系統內,接收前端必須提供足夠的訊號強度與線性度,將電路中的雜訊降到最低以維持訊號的品質。
本篇論文提出了如何設計有線通訊與無線通訊:(1) 本篇論文描述了一個高速且低功率損耗電容耦合傳送接收器,將資料透過75-fF 電容經由10 公分的FR4傳輸線來傳輸,最大可到達12-Gb/s 的傳輸速度。傳送器與接收器分別僅消耗21.3 mW 和13.5 mW 的功率。(2) 本篇論文提出整合單端轉雙端與電流重複利用的二級線性度改善架構,並將整個接收前端視為一體納入考量設計,達到了極好的放大增益與線性度。
zh_TW
dc.description.abstractThis dissertation presents one of the tops in microprocessor design and communication design between chips. Two parts are discussed: (1) the design methodology and at-speed test technique for high-performance adders, (2) wire and wireless frontend transceivers. The adder, which directly affects the overall performance, is the most important unit in the microprocessors.
This dissertation discusses two aspects of adder design:(1) In deep sub-micron technology, interconnect wire delay will be the bottleneck for high performance adders instead of gate loading. Therefore, reducing interconnect wire delay is important to improve adder performance. (2) At-speed testing detects transition faults. But in the past, only function testing is applied to adder testing. It cannot be used to validate the operating frequency and latency in real silicon.
In the dissertation, we discuss how to design an adder and how to test an adder efficiently: (1) this dissertation shows that the effect of interconnect wire delay will increase in deep sub-micro technology between 0.35-um, 0.18-um, and 90-nm technologies. Therefore, this dissertation uses a new design methodology of 64-bit hybrid radix-4 sparse-4 tree with 181-ps latency, which is faster than the one based on conventional topology. Moreover, by using clock-delayed (CD) footless domino logics, the proposed adder achieves the 6.4-GHz operating frequency in a 90-nm CMOS technology. This design methodology can also be used in high-order adders (>32-bit). (2) This dissertation is the first case using the pseudo-exhaustive testing (PET) for high-speed high-order adders. The at-speed pseudo-exhaustive testing guarantees 100% coverage by only 54K patterns for single stack-at faults. With the delay-locked loop (DLL) latency-measurement technique, speed binning of high performance CPUs is now possible.
However, after improving the microprocessor performance, the chip communication cannot achieve corresponding high speed. Thus, this dissertation discusses two important aspects of communications: (1) With the popularity of the media technology, high speed chip-to-chip transceivers are the critical circuitry in many systems such as USB 3.0 (5 Gbps) and HDMI 1.4 (3.5 Gbps). Moreover, for the mobile applications, low power consumption is also an important factor. (2) The convenience comes with the wireless technology has drastically changed the way people communicate. Other than cellular phone services, the wireless data communication has attracted great attention in recent years. In the transceiver design of a wireless LAN system, the front-end receiver must provide sufficient signal amplification and linearity while maintain minimum noise to ensure the quality of the received signal.
In this dissertation, we discuss the design of the wire and wireless communication technique: (1) This dissertation describes a low-power and high-speed chip-to-chip communication for high density interconnects. A 12-Gb/s front-end transceiver is demonstrated through a wire-bonded AC coupled interconnect (ACCI) channel with 75-fF coupling capacitors, across 10-cm FR4 micro-strip lines. (2) This dissertation also presents a two-stage linearity-enhancement technique in the wireless front-end receiver. The linearization technique integrate single-to-differential and current-reused architecture to achieve high linearity and high gain.
en
dc.description.provenanceMade available in DSpace on 2021-06-16T17:55:41Z (GMT). No. of bitstreams: 1
ntu-101-D95943012-1.pdf: 6226521 bytes, checksum: e485a829b11af6020ed0dc1797bd7b0e (MD5)
Previous issue date: 2012
en
dc.description.tableofcontents1 Introduction 1
1.1 Motivation 1
1.1.1 The Design Methodology and At-speed Test Technique for
Adders 1
1.1.2 The wireline and wireless transceiver 2
1.2 Organization 3
2 The Design Methodology
for High-performance Adders 5
2.1 Introduction 5
2.2 Background 6
2.2.1 Conventional adders 7
2.2.2 Parallel prefix-tree adder 11
2.3 Design methodology 16
2.3.1 The design factor 16
2.3.2 Our proposed hybrid carry tree 22
2.3.3 Footless domino logic 25
2.3.4 Clock-delayed (CD) logic 31
2.4 Measurement results 33
2.5 Summary 36
3 The At-speed Test Technique for High-performance Adders 37
3.1 Introduction 38
3.2 Background 40
3.2.1 Clock generator 40
3.2.2 Test pattern generator 44
3.2.3 Fault models 48
3.3 The test structure for adders 49
3.3.1 Circuit Under Test (CUT) 49
3.3.2 Input scan chain and LFSR 51
3.3.3 Programmable-delay controller (8-phase-selectable DLL) 52
3.3.4 4-stage storage elements and output scan chain 53
3.4 Our proposed technique 55
3.4.1 Pseudo-exhaustive testing (PET) 55
3.4.2 Accurate latency measurement technique with DLL 62
3.4.3 At-speed testing 67
3.4.4 Testing strategy and speed binning 68
3.5 Measured results 69
3.5.1 DLL 70
3.5.2 Accurate latency measurement technique with DLL 72
3.5.3 At-speed testing and speed binning 73
3.6 Discussion 74
3.6.1 Area overhead 74
3.7 Summery 74
4 The wireline front-end transceiver 77
4.1 Introduction 77
4.2 Background 79
4.2.1 AC coupled interconnect Architecture 80
4.2.2 Pulse Signalling on ACCI 82
4.2.3 Frequency response 85
4.3 Our proposed Transceiver 87
4.3.1 Transmitter 87
4.3.2 Receiver 90
4.3.3 The receiver: Pulse receiver 90
4.3.4 The receiver: Limiting amplifier 92
4.4 Measured results 98
4.5 Summery 102
5 The wireless front-end receiver 103
5.1 Introduction 104
5.2 Background 105
5.2.1 Scattering Parameters 106
5.2.2 Linearity 108
5.2.3 Harmonics 108
5.2.4 Gain compression (1-dB point) 109
5.2.5 Inter-modulation 109
5.2.6 Third intercept point (IP3) 111
5.3 Our proposed front-end receiver 113
5.3.1 Single-to-differential LNA 113
5.3.2 The proposed two-stage linearity-enhancement technique 117
5.3.3 Double-balanced Mixer 120
5.4 Experimental Results 123
5.5 Summery 126
6 Conclusion 127
Bibliography 131
dc.language.isoen
dc.subject虛擬完全測試zh_TW
dc.subject高速測試zh_TW
dc.subject加法器zh_TW
dc.subject連線延遲zh_TW
dc.subject速度分類zh_TW
dc.subject電容耦合zh_TW
dc.subject接收前端zh_TW
dc.subject單端轉雙端zh_TW
dc.subjectfront-end receiveren
dc.subjectwire delayen
dc.subjectpseudo-exhaustive testingen
dc.subjectat-speed testingen
dc.subjectspeed binningen
dc.subjectAC coupleden
dc.subjectadderen
dc.subjectsingle-to-differentialen
dc.title高速加法器之設計方法與高速測試技術暨有線與無線傳送接收前端設計zh_TW
dc.titleThe Design Method & At-speed Test Technique for Adders, and Wire & Wireless Front-end Transceiversen
dc.typeThesis
dc.date.schoolyear100-2
dc.description.degree博士
dc.contributor.oralexamcommittee李建模,盧信嘉,江介宏,黃俊郎
dc.subject.keyword加法器,連線延遲,虛擬完全測試,高速測試,速度分類,電容耦合,接收前端,單端轉雙端,zh_TW
dc.subject.keywordadder,wire delay,pseudo-exhaustive testing,at-speed testing,speed binning,AC coupled,front-end receiver,single-to-differential,en
dc.relation.page136
dc.rights.note有償授權
dc.date.accepted2012-08-13
dc.contributor.author-college電機資訊學院zh_TW
dc.contributor.author-dept電子工程學研究所zh_TW
顯示於系所單位:電子工程學研究所

文件中的檔案:
檔案 大小格式 
ntu-101-1.pdf
  未授權公開取用
6.08 MBAdobe PDF
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved