請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/60142完整後設資料紀錄
| DC 欄位 | 值 | 語言 |
|---|---|---|
| dc.contributor.advisor | 李建模(Chien-Mo Li) | |
| dc.contributor.author | Yu-Wei Chen | en |
| dc.contributor.author | 陳佑維 | zh_TW |
| dc.date.accessioned | 2021-06-16T09:58:52Z | - |
| dc.date.available | 2018-02-08 | |
| dc.date.copyright | 2017-02-08 | |
| dc.date.issued | 2016 | |
| dc.date.submitted | 2016-12-07 | |
| dc.identifier.citation | [1] Akers, Sheldon B. 'On the role of independent fault sets in the generation of minimal test sets.' Proc. of International Test Conference, October 1987. 1987.
[2] Amyeen, M. Enamul, et al. 'Evaluation of the quality of N-detect scan ATPG patterns on a processor.' Test Conference, 2004. Proceedings. ITC 2004. International. IEEE, 2004. [3] F, Brglez, “On Testability Analysis of Combinational Networks,” in Proceedings IEEE International Symposium on Circuits and Systems, pp. 221-225, 1984. [4] Barnhart, Carl, et al. 'OPMISR: the foundation for compressed ATPG vectors.'Test Conference, 2001. Proceedings. International. IEEE, 2001. [5] Benware, Brady, et al. 'Impact of multiple-detect test patterns on product quality.' null. IEEE, 2003. [6] S. Bechers, G. De Samblanx, F. De Smedt, T. Goedeme, L. Struyf, and J. Vennekens, “Parallel Hybrid SAT Solving Using OpenCL,” Proc. Int’l Conf. on Applied Computing, pp. 435-441, 2011. [7] Chang, Jau-Shien, and Chen-Shang Lin. 'Test set compaction for combinational circuits.' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 14.11 (1995): 1370-1378. [8] Chang, Jonathan TY, et al. 'Analysis of pattern-dependent and timing-dependent failures in an experimental test chip.' Test Conference, 1998. Proceedings., International. IEEE, 1998. [9] X. Cai, P. Wohl, J. A. Waicukauski, and P. Notiyath, “Highly Efficient Parallel ATPG Based on Shared Memory,” Proc. Int’l Test Conf., pp. 1-7, 2010. [10] Eggersglüß, Stephan, and Rolf Drechsler. 'An effective fault ordering heuristic for SAT-based dynamic test compaction techniques.' it-Information Technology56.4 (2014): 157-164. [11] Goel, Prabhakar. 'Test generation and dynamic compaction of tests.' Digest of Papers 1979 Test Conf., Oct.. 1979. [12] Girard, Patrick. 'Survey of low-power testing of VLSI circuits.' IEEE Design & test of computers 19.3 (2002): 82-92. [13] Hamzaoglu, Ilker, and Janak H. Patel. 'Test set compaction algorithms for combinational circuits.' Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design. ACM, 1998. [14] Hamzaoglu, Ilker, and Janak H. Patel. 'Compact two-pattern test set generation for combinational and full scan circuits.' Test Conference, 1998. Proceedings., International. IEEE, 1998. [15] Huang, Yu. 'On N-detect pattern set optimization.' Proceedings of the 7th International Symposium on Quality Electronic Design. IEEE Computer Society, 2006. [16] Wilson, Linda. 'International technology roadmap for semiconductors (ITRS).'Semiconductor Industry Association (2013). [17] R. H. Klenke, R. D. Williams, and J. H. Aylor, “Parallel-Processing Techniques for Automatic Test Pattern Generation,” IEEE Computer, Vol.25, No.1, pp.71-84, 1992. [18] Krauss, P. A., and M. Henftling. (1994, November). Efficient fault ordering for automatic test pattern generation for sequential circuits. In Test Symposium, 1994., Proceedings of the Third Asian (pp. 113-118). IEEE. [19] Kajihara, Seiji, et al. 'Cost-effective generation of minimal test sets for stuck-at faults in combinational logic circuits.' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 14.12 (1995): 1496-1504. [20] Kantipudi, Kalyana R., and Vishwani D. Agrawal. 'On the size and generation of minimal N-detection tests.' 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06). IEEE, 2006. [21] Kantipudi, Kalyana R., and Vishwani D. Agrawal. 'A reduced complexity algorithm for minimizing N-detect tests.' 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07). IEEE, 2007. [22] M. A. Kochte, M. Schaal, H.-J. Wunderlich, and C. G. Zoellin, “Efficient Fault Simulation on Many-Core Processors,” Proc. IEEE Design Automation Conf., pp. 380-385, 2010. [23] Ku, Jerry CY, et al. 'Suppressing test inflation in shared-memory parallel Automatic Test Pattern Generation.' 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC). IEEE, 2014. [24] T. Larrabee, “Test Pattern Generation Using Boolean Satisfiability,” IEEE Trans. Comput.-Aided Design Intergr. Circuits Syst., vol. 11, Issue 1, pp. 4-15, 1992. [25] Lee, Sooryong, et al. 'A new ATPG algorithm to limit test set size and achieve multiple detections of all faults.' Proceedings of the conference on Design, automation and test in Europe. IEEE Computer Society, 2002. [26] X Lin, K. Tsai, C. Wang, M. Kassab, J. Rajaski, T. Kobayashi, R. Klingenberg, Y. Sato, S. Hamada, and T. Aikyo, “Timing-aware ATPG for high quality at-speed testing of small delay defects,” Proc. Asian Test Symp., Nov. 2006, pp.139-146. [27] M. Li and M. S. Hsiao, “FSimGP^2: An Efficient Fault Simulator with GPGPU,” Proc. IEEE Asian Test Symp., pp. 15-20, 2010. [28] K.-Y. Liao, S.-C. Hsu, and J. C.-M. Li, “GPU-based N-detect transition fault ATPG,” Proc. IEEE/ACM Design Automation Conf., Jun. 2013. [29] Ma, Siyad C., Piero Franco, and Edward J. McCluskey. 'An experimental chip to evaluate test techniques: Experiment results.' ITC. 1995. [30] S. Patil and P. Banerjee, “A Parallel Branch and Bound Algorithm for Test Generation,” IEEE Trans. Computer-aided Design, Vol. 9, No.3, pp. 313-322, 1990. [31] Pomeranz, Irith, Lakshmi N. Reddy, and Sudhakar M. Reddy. 'COMPACTEST: A Method to Generate Compact Test Sets for Combinatorial Circuits.'Proceedings of the IEEE International Test Conference on Test: Faster, Better, Sooner. IEEE Computer Society, 1991. [32] Pomeranz, Irith, and Sudhakar M. Reddy. 'On n-detection test sets and variable n-detection test sets for transition faults.' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 19.3 (2000): 372-383. [33] Pomeranz, Irith, and Sudhakar M. Reddy. 'The accidental detection index as a fault ordering heuristic for full-scan circuits.' Design, Automation and Test in Europe. IEEE, 2005. [34] Reddy, Lakshmi N., Irith Pomeranz, and Sudhakar M. Reddy. 'ROTCO: A reverse order test compaction technique.' Euro ASIC'92, Proceedings.. IEEE, 1992. [35] Raghunathan, Anand, and Srimat T. Chakradhar. 'Acceleration techniques for dynamic vector compaction.' Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. IEEE Computer Society, 1995. [36] Remersaro, Santiago, et al. 'A scalable method for the generation of small test sets.' Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 2009. [37] S. Sesuh and D. N. Freeman, “On Improved Diagnosis Program,” IEEE Trans. Electron. Comput., EC-14(1), pp. 76-79, 1965. [38] S. P. Smith, B. Underwood, and M. R. Mercer, “An Analysis of Several Approaches to Circuit Partitioning for Parallel Logic Simulation,” Proc. IEEE Int’l Conf. on Comput. Design, pp. 664-667, 1987. [39] Schulz, Michael H., Erwin Trischler, and Thomas M. Sarfert. 'SOCRATES: A highly efficient automatic test pattern generation system.' IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 7.1 (1988): 126-137. [40] C.-W. Tseng and E. J. McCluskey, “Multiple-Output Propagation Transition Fault Test,” Proc. Int’l Test Conf., pp. 358-366, 2001. [41] Touba, Nur A. 'Survey of test vector compression techniques.' IEEE Design & Test of Computers 23.4 (2006): 294-303. [42] J. A. Waicukauski, E. B. Eichelberger, D. O. Forlenza, E. Lindbloom, and T. McCarthy, “Fault Simulation for Structured VLSI,” Proc. VLSI Syst. Des., 6(12), pp. 20-32, 1985. [43] Wang, Laung-Terng, Cheng-Wen Wu, and Xiaoqing Wen. VLSI test principles and architectures: design for testability. Academic Press, 2006. [44] Xiang, Dong, et al. 'Compact test generation with an Influence input measure for launch-on-capture transition fault testing.' IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22.9 (2014): 1968-1979. [45] M. Yilmaz, K. Chakrabarty, and M. Tehranipoor, “Test-pattern grading and pattern selection for small-delay defects,” Proc. IEEE VLSI Test Symp., Apr. 2008, pp. 233-239. | |
| dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/60142 | - |
| dc.description.abstract | 生成壓縮的測試集對於降低測試成本是非常重要的。在此論文中,我們提出了一種新的測試壓縮演算法,可以實現高度的壓縮,稱為平行次序動態測試壓縮(Parallel Order Dynamic Test Compaction)。我們的結果顯示,在單個測試向量生產的過程中,次級故障的次序對於測試壓縮是非常重要的。我們使用GPU去同時執行許多不同次序的次級故障,並選擇測試到最大故障數量的最佳測試向量。實驗結果顯示,我們的測試長度比高度壓縮的商業自動測試向量產生器短40%,我們的測試長度是迄今為止所有以前發佈過的方法中最小的。我們的結果顯示,我們的技術也有助於壓縮N次偵測的測試集。在N=3、N=5、N=8中,我們的測試長度至少比商業自動測試向量產生器短了四分之一。 | zh_TW |
| dc.description.abstract | Generating a compacted test set is very important to reduce the cost of testing. In this thesis, we proposed a novel test compaction algorithm which achieve high compaction, called Parallel Order Dynamic Test Compaction (PO-DTC). Our results show that the order of secondary faults within a single test generation is very important for test compaction. We use GPU to launch many parallel ATPG with different orders of secondary faults. Then we choose the best test pattern, which detects the largest number of faults. Experimental results show that our test length is 40% shorter than that of a highly compacted commercial ATPG. Our test length is the smallest among all previous work published so far. Our results show that our technique is also useful to compact N-detect test sets. Our test length is at least 1/4 short than that of the commercial ATPG for N=3, N=5, N=8. | en |
| dc.description.provenance | Made available in DSpace on 2021-06-16T09:58:52Z (GMT). No. of bitstreams: 1 ntu-105-R03943143-1.pdf: 1953548 bytes, checksum: 97fe4f87d065f9c55241e7a492032d29 (MD5) Previous issue date: 2016 | en |
| dc.description.tableofcontents | 致謝 i
摘要 ii Abstract iii Table of Contents iv List of Figures v List of Tables vi Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Proposed Technique 5 1.3 Contribution 6 1.4 Organization 8 Chapter 2 Background 9 2.1 Dynamic Test Compaction 9 2.1.1 Primary Fault Order of Dynamic Test Compaction 9 2.1.2 Secondary Fault Selection of Dynamic Test Compaction 11 2.2 Static Test Compaction 12 2.3 N-detect Test Set Compaction Algorithm 13 2.4 Parallel Programming Techniques for ATPG 13 Chapter 3 Proposed Techniques 15 3.1 Overall Flow 15 3.2 Parallel Order Dynamic Test Compaction 16 3.3 GPU-based Test Generation (SWK algorithm) 19 3.3.1 Signal Representation 20 3.3.2 Initialize Objectives 21 3.3.3 Backtrace 22 3.3.4 Propagation 23 3.3.5 Success or Time Out 24 3.3.6 Example of implementation for PO-DTC 25 Chapter 4 Experimental Results 30 4.1 Compare with the baseline ATPG 31 4.2 Compare with Previous Methods and the Commercial ATPG Tool 32 4.3 N-detect Test Set Comparison 34 4.4 Comparison of using different numbers of blocks 36 Chapter 5 Conclusion and Future work 37 References 38 | |
| dc.language.iso | en | |
| dc.subject | 測試壓縮 | zh_TW |
| dc.subject | 平行化自動測試向量產生器 | zh_TW |
| dc.subject | parallel ATPG | en |
| dc.subject | test compaction | en |
| dc.title | 針對測試壓縮的平行次序自動測試向量產生器 | zh_TW |
| dc.title | Parallel Order Automatic Test Pattern Generation
for Test Compaction | en |
| dc.type | Thesis | |
| dc.date.schoolyear | 105-1 | |
| dc.description.degree | 碩士 | |
| dc.contributor.oralexamcommittee | 黃俊郎,呂學坤 | |
| dc.subject.keyword | 平行化自動測試向量產生器,測試壓縮, | zh_TW |
| dc.subject.keyword | parallel ATPG,test compaction, | en |
| dc.relation.page | 42 | |
| dc.identifier.doi | 10.6342/NTU201603794 | |
| dc.rights.note | 有償授權 | |
| dc.date.accepted | 2016-12-08 | |
| dc.contributor.author-college | 電機資訊學院 | zh_TW |
| dc.contributor.author-dept | 電子工程學研究所 | zh_TW |
| 顯示於系所單位: | 電子工程學研究所 | |
文件中的檔案:
| 檔案 | 大小 | 格式 | |
|---|---|---|---|
| ntu-105-1.pdf 未授權公開取用 | 1.91 MB | Adobe PDF |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。
