請用此 Handle URI 來引用此文件:
http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/58840
完整後設資料紀錄
DC 欄位 | 值 | 語言 |
---|---|---|
dc.contributor.advisor | 張耀文(Yao-Wen Chang) | |
dc.contributor.author | Kuan-Hsien Ho | en |
dc.contributor.author | 何冠賢 | zh_TW |
dc.date.accessioned | 2021-06-16T08:34:04Z | - |
dc.date.available | 2016-01-27 | |
dc.date.copyright | 2014-01-27 | |
dc.date.issued | 2013 | |
dc.date.submitted | 2013-12-02 | |
dc.identifier.citation | [1] ABC: A System for Sequential Synthesis and Verification. http://www-cad.eecs.berkeley.edu/~alanmi/abc.
[2] IBM ILOG CPLEX Optimizer. http://www-01.ibm.com/software/integration/optimization/cplex-optimizer/. [3] ISPD 2012 Discrete Gate Sizing Contest. http://archive.sigda.org/ispd/contests/12/ISPD 2012 Contest Results.pdf. [4] Library Compiler User Guide: Modeling Timing and Power Technology Libraries. http://www.synopsys.com/. [5] United Microelectronics Corporation (UMC). http://www.umc.com/. [6] H. Arif, M. A. Iqbal, M. Ali, and F. Bajwa. Emerging aspects of asynchronous technology used for design of energy efficient computing platforms. International Journal of Computer Applications, 27(9):7-12, August 2011. [7] J. Bainbridge. Asynchronous System-on-Chip Interconnect. Springer, 2002. [8] A. Balasinski. Optimization of sub-100-nm designs for mask cost reduction. SPIE Journal of Microlithography, Microfabrication, and Microsystems, 3(2):322-331, April 2004. [9] F. Beeftink, P. Kudva, D. Kung, and L. Stok. Gate-size selection for standard cell libraries. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 545-550, November 1998. [10] P. A. Beerel. Asynchronous circuits: An increasingly practical design solution. In Proceedings of IEEE International Symposium on Quality Electronic Design, pages 367-372, March 2002. [11] P. A. Beerel, R. O. Ozdaq, and M. Ferretti. A Designer's Guide to Asynchronous VLSI. Cambridge University Press, 2010. [12] G. Birtwistle and A. Davis. Asynchronous Digital Circuit Design. Springer, 1995. [13] R. E. Bryant and D. R. O'Hallaron. Computer Systems: A Programmer's Perspective. Prentice Hall, 2011. [14] J. M. Cazeaux, D. Rossi, M. Omana, C. Metra, and A. Chatterjee. On transistor level gate sizing for increased robustness to transient faults. In Proceedings of IEEE International On-Line Testing Symposium, pages 23-28, July 2005. [15] A. Chakraborty and D. Z. Pan. Skew management of NBTI impacted gated clock trees. In Proceedings of ACM International Symposium on Physical Design, pages 127-133, March 2010. [16] K.-H. Chang, I. L. Markov, and V. Bertacco. Automating post-silicon debugging and repair. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 91-98, November 2007. [17] K.-H. Chang, I. L. Markov, and V. Bertacco. Reap what you sow: Spare cells for post-silicon metal fix. In Proceedings of ACM International Symposium on Physical Design, pages 103-110, April 2008. [18] T. Chelcea, G. Venkataramani, and S. C. Goldstein. Self-resetting latches for asynchronous micro-pipelines. In Proceedings of ACM/IEEE Design Automation Conference, pages 986-989, June 2007. [19] C. C.-P. Chen, C. C. N. Chu, and D. F. Wong. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 18(7):1014-1025, July 1999. [20] H.-T. Chen, C.-C. Chang, and T. Hwang. Reconfigurable ECO cells for timing closure and IR drop minimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18(12):1686-1695, December 2010. [21] Y.-C. Chen and C.-Y. Wang. Logic restructuring using node addition and removal. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(2):260-270, February 2012. [22] Y.-P. Chen, J.-W. Fang, and Y.-W. Chang. ECO timing optimization using spare cells. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 530-535, November 2007. [23] D. G. Chinnery and K. Keutzer. Linear programming for sizing, Vth and Vdd assignment. In Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design, pages 149-154, August 2005. [24] H. Chou, Y.-H. Wang, and C. C.-P. Chen. Fast and effective gate sizing with multiple-Vt assignment using generalized Lagrangian relaxation. In Proceedings of IEEE/ACM Asia South Pacific Design Automation Conference, pages 381-386, January 2005. [25] O. Coudert. Gate sizing for constrained delay/power/area optimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 5(4):465-472, December 1997. [26] U. Cummings. PivotPoint: clockless crossbar switch for high-performance embedded systems. IEEE Micro, 24(2):48-59, March-April 2004. [27] M. Davies. One billion packet per second frame processing pipeline. In Proceedings of IEEE Hot Chips, August 2011. [28] W. C. Elmore. The transient analysis of damped linear networks with particular regard to wideband amplifiers. AIP Journal of Applied Physics, 19(1):55-63, January 1948. [29] S.-Y. Fang, T.-F. Chien, and Y.-W. Chang. Redundant-wires-aware ECO timing and mask cost optimization. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 381-386, November 2010. [30] J. P. Fishburn. Clock skew optimization. IEEE Transactions on Computers, 39(7):945-951, July 1990. [31] J. P. Fishburn and A. E. Dunlop. TILOS: A posynomial programming approach to transistor sizing. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 326-328, November 1985. [32] S. Fujimoto. Media SoC design for reduced power consumption. EE Times, November 2006. [33] J. Garside, W. Bainbridge, A. Bardsley, D. Clark, D. Edwards, S. Furber, J. Liu, D. Lloyd, S. Mohammadi, J. Pepper, O. Petlin, S. Temple, and J. Woods. AMULET3i - an asynchronous system-on-chip. In Proceedings of IEEE International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 162-175, April 2000. [34] B. Ghavami and H. Pedram. Low power asynchronous circuit back-end design flow. Elsevier Microelectronics Journal, 42(2):462-471, February 2011. [35] G. Gopalakrishnan, P. Kudva, and E. Brunvand. Peephole optimization of asynchronous macromodule networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 7(1):30-37, March 1999. [36] P. Gupta, A. B. Kahng, A. Kasibhatla, and P. Sharma. Eyecharts: construc- tive benchmarking of gate sizing heuristics. In Proceedings of ACM/IEEE Design Automation Conference, pages 597-602, June 2010. [37] M. Hamada, Y. Ootaguro, and T. Kuroda. Utilizing surplus timing for power reduction. In Proceedings of IEEE Custom Integrated Circuits Conference, pages 89-92, May 2001. [38] T. Hanyu and N. Onizawa. US patent 20,130,073,771 A1: Asynchronous protocol converter. 2013. [39] S. Hauck. Asynchronous design methodologies: An overview. IEEE Proceedings of the IEEE, 83(1):69-93, January 1995. [40] K.-H. Ho, Y.-P. Chen, J.-W. Fang, and Y.-W. Chang. ECO timing optimization using spare cells and technology remapping. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(5):697-710, May 2010. [41] S. Hu, M. Ketkar, and J. Hu. Gate sizing for cell-library-based designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(6):818-825, June 2009. [42] Y.-L. Huang, J. Hu, and W. Shi. Lagrangian relaxation for gate implementation selection. In Proceedings of ACM International Symposium on Physical Design, pages 167-174, March 2011. [43] K. Inoue, W. Takahashi, A. Takahashi, and Y. Kajitani. Schedule-clock-tree routing for semi-synchronous circuits. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, E82-A(11):2431-2439, November 1999. [44] M. M. Jahromi and B. Ghavami. Diagnosis of faults in template-based asynchronous circuits. In Proceedings of IEEE TENCON, pages 1-4, January 2009. [45] T. Jhaveri, I. Stobert, L. Liebmann, P. Karakatsanis, V. Rovner, A. Strojwas, and L. Pileggi. OPC simplification and mask cost reduction using regular design fabrics. In Proceedings of SPIE, volume 7274, pages 727417-1-727417-8, March 2009. [46] I. H.-R. Jiang, H.-Y. Chang, L.-G. Chang, and H.-B. Hung. Matching-based minimum-cost spare cell selection for design changes. In Proceedings of ACM/IEEE Design Automation Conference, pages 408-411, July 2009. [47] Z.-W. Jiang, M.-K. Hsu, Y.-W. Chang, and K.-Y. Chao. Spare-cell-aware multilevel analytical placement. In Proceedings of ACM/IEEE Design Automation Conference, pages 430-435, July 2009. [48] M. Keating, D. Flynn, R. Aitken, A. Gibbons, and K. Shi. Low Power Methodology Manual: For System-on-Chip Design. Springer, 2008. [49] V. Khandelwal, A. Davoodi, and A. Srivastava. Simultaneous Vt selection and assignment for leakage optimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13(6):762-765, June 2005. [50] I. S. Kourtev, B. Taskin, and E. G. Friedman. Timing Optimization Through Clock Skew Scheduling. Springer, 2008. [51] S. Krishnaswamy, H. Ren, N. Modi, and R. Puri. DeltaSyn: An efficient logic difference optimizer for ECO synthesis. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 789-796, November 2009. [52] Y.-M. Kuo, Y.-T. Chang, S.-C. Chang, and M. Marek-Sadowska. Engineering change using spare cells with constant insertion. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 544-547, November 2007. [53] Y.-M. Kuo, Y.-T. Chang, S.-C. Chang, and M. Marek-Sadowska. Spare cells with constant insertion for engineering change. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(3):456-460, March 2009. [54] J. Lee and P. Gupta. Discrete Circuit Optimization: Library Based Gate Sizing and Threshold Voltage Assignment. Now Publishers, 2012. [55] W.-P. Lee, H.-Y. Liu, K.-H. Ho, and Y.-W. Chang. Sensitivity-based multiple-Vt cell swapping for leakage power reduction. In Proceedings of IEEE International Symposium on VLSI Design, Automation and Test, pages 168-171, April 2008. [56] Y.-L. S. Lin. Essential Issues in SOC Design: Designing Complex Systems-on-Chip. Springer, 2006. [57] A. M. Lines. Pipelined asynchronous circuits. Technical report, California Institute of Technology, 1998. [58] Y. Liu and J. Hu. A new algorithm for simultaneous gate sizing and threshold voltage assignment. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(2):223-234, February 2010. [59] C.-P. Lu, M. C.-T. Chao, C.-H. Lo, and C.-W. Chang. A metal-only-ECO solver for input-slew and output-loading violations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(2):240-245, February 2010. [60] C. Maxfield. ASICs versus SoCs - is there a difference? EE Times, June 2011. [61] D. P. Mehta and S. Sahni. Handbook of Data Structures and Applications. Chapman & Hall/CRC, 2005. [62] A. Mishchenko, S. Chatterjee, and R. Brayton. DAG-aware AIG rewriting: A fresh look at combinational logic synthesis. In Proceedings of ACM/IEEE Design Automation Conference, pages 532-535, July 2006. [63] R. Mittal, A. Mahajan, and S. Sachdeva. Minimize leakage power in embedded SoC designs with Multi-Vt cells. Embedded, August 2011. [64] N. A. Modi and M. Marek-Sadowska. ECO-Map: Technology remapping for post-mask ECO using simulated annealing. In Proceedings of IEEE International Conference on Computer Design, pages 652-657, October 2008. [65] S. Muroga. Logic Design and Switching Theory. John Wiley & Sons, 1979. [66] C. J. Myers. Asynchronous Circuit Design. Wiley-Interscience, 2001. [67] S. M. Nowick and M. Singh. High-performance asynchronous pipelines: An overview. IEEE Design & Test of Computers, 28(5):8-22, September-October 2011. [68] M. M. Ozdal, C. Amin, A. Ayupov, S. Burns, G. Wilke, and C. Zhuo. The ISPD-2012 discrete cell sizing contest and benchmark suite. In Proceedings of ACM International Symposium on Physical Design, pages 161-164, March 2012. [69] M. M. Ozdal, S. Burns, and J. Hu. Gate sizing and device technology selection algorithms for high-performance industrial designs. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 724-731, November 2011. [70] P. Pan and C.-C. Lin. A new retiming-based technology mapping algorithm for LUT-based FPGAs. In Proceedings of ACM International Symposium on Field Programmable Gate Arrays, pages 35-42, February 1998. [71] P. R. Panda, A. Shrivastava, B. V. N. Silpa, and K. Gummidipudi. Power-Efficient System Design. Springer, 2010. [72] D. Pandini, L. T. Pileggi, and A. J. Strojwas. Understanding and addressing the impact of wiring congestion during technology mapping. In Proceedings of ACM International Symposium on Physical Design, pages 131-136, April 2002. [73] N. C. Paver. The Design and Implementation of an Asynchronous Microprocessor. Doctoral Dissertation, Manchester University, 1994. [74] M. Pedram and N. Bhat. Layout driven technology mapping. In Proceedings of ACM/IEEE Design Automation Conference, pages 99-105, June 1991. [75] C. Piguet. Low-Power CMOS Circuits: Technology, Logic Design and CAD Tools. CRC Press, 2006. [76] G. Prophet. EDA; Equivalence checking tool doubles speed of verifying functional ECOs. EDN Europe, June 2013. [77] M. Rahman, H. Tennakoon, and C. Sechen. Power reduction via near-optimal library-based cell-size selection. In Proceedings of IEEE/ACM Design, Automation and Test in Europe, pages 1-4, March 2011. [78] P. Ranade. System-on-chip technology comes of age. EE Times, October 2012. [79] H. Ren and S. Dutt. A network-ow based cell sizing algorithm. In Proceedings of International Workshop on Logic Synthesis, pages 7-14, June 2008. [80] P. Saini and R. Mehra. A novel technique for glitch and leakage power reduction in CMOS VLSI circuits. SAI International Journal of Advanced Computer Science and Applications, 3(10):161-168, October 2012. [81] S. S. Sapatnekar, V. B. Rao, P. M. Vaidya, and S.-M. Kang. An exact solution to the transistor sizing problem for CMOS circuits using convex optimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 12(11):1621-1634, November 1993. [82] P. Schmid. Intel's new weapon: Pentium 4 Prescott. Tom's Hardware, February 2004. [83] S. Shah, A. Srivastava, D. Sharma, D. Sylvester, D. Blaauw, and V. Zolotov. Discrete Vt assignment and gate sizing using a self-snapping continuous formulation. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 704-711, November 2005. [84] L. Shifren. Leakage power | it's worse than you think. EE Times, May 2011. [85] M. Singh and S. M. Nowick. The design of high-performance dynamic asynchronous pipelines: High-capacity style. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15(11):1270-1283, November 2007. [86] M. Singh and S. M. Nowick. MOUSETRAP: High-speed transition-signaling asynchronous pipelines. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15(6):684-698, June 2007. [87] S. Sirichotiyakul, T. Edwards, C. Oh, J. Zuo, A. Dharchoudhury, R. Panda, and D. Blaauw. Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing. In Proceedings of ACM/IEEE Design Automation Conference, pages 436-441, June 1999. [88] J. Sparso and S. Furber. Principles of Asynchronous Circuit Design: A Systems Perspective. Kluwer Academic Publishers, 2010. [89] I. Sutherland. Micropipelines. ACM Communications of the ACM, 32(6):720-738, June 1989. [90] I. Sutherland and S. Fairbanks. GasP: A minimal FIFO control. In Proceedings of IEEE International Symposium on Advanced Research in Asynchronous Circuits and Systems, pages 46-53, March 2001. [91] R.-S. Tsay. An exact zero-skew clock routing algorithm. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 12(2):242-249, February 1993. [92] S. Tugsinavisut and P. A. Beerel. Control circuit templates for asynchronous bundled-data pipelines. In Proceedings of IEEE/ACM Design, Automation and Test in Europe, page 1098, March 2002. [93] J. P. Uyemura. Introduction to VLSI Circuits and Systems. John Wiley & Sons, 2002. [94] V. I. Varshavsky. Self-timed Control of Concurrent Processes: Models and Principles for Designing Aperiodic Logical Circuits in Computers and Discrete Systems. Springer, 1990. [95] G. Venkataramani, T. Chelcea, and S. C. Goldstein. Heterogeneous latch-based asynchronous pipelines. In Proceedings of IEEE International Symposium on Asynchronous Circuits and Systems, pages 83-92, April 2008. [96] G. Venkataranman, C. N. Sze, and J. Hu. Skew scheduling and clock routing for improved tolerance to process variations. In Proceedings of IEEE/ACM Asia South Pacific Design Automation Conference, pages 594-599, January 2005. [97] J. Wang, D. Das, and H. Zhou. Gate sizing by Lagrangian relaxation revisited. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(7):1071-1084, July 2009. [98] L.-T. Wang, C.-W. Wu, and X. Wen. VLSI Test Principles and Architectures: Design for Testability. Morgan Kaufmann, 2006. [99] L. Wei, Z. Chen, K. Roy, M. C. Johnson, Y. Ye, and V. K. De. Design and optimization of dual-threshold circuits for low-voltage low-power applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 7(1):16-24, March 1999. [100] T. E. Williams. Self-Timed Rings and Their Application to Division. Doctoral Dissertation, Stanford University, 1991. [101] C. G.Wong and A. J. Martin. High-level synthesis of asynchronous systems by data-driven decomposition. In Proceedings of ACM/IEEE Design Automation Conference, pages 508-513, June 2003. [102] C.-Y. Yang, J.-J. Chen, L. Thiele, and T.-W. Kuo. Energy-efficient real-time task scheduling with temperature-dependent leakage. In Proceedings of IEEE/ACM Design, Automation and Test in Europe, pages 9-14, March 2010. | |
dc.identifier.uri | http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/58840 | - |
dc.description.abstract | 系統單晶片為一種積體電路設計,其整合數個功能模組至一個晶片,形成完整的系統以實現使用者的需求。近年來,有越來越多系統單晶片的設計裡混合地使用非同步與同步電路為基礎的功能模組;晶片設計者們將這兩種類型的功能模組放置於同一個晶片裡,期望非同步電路能有助於製造出高效能的晶片。另一方面,製程的進步使得晶片設計者們能在相同尺寸的晶片裡放置比以往更多的功能模組;然而,放置更多的功能模組所付出的代價則是增加了晶片功率的消耗,晶片設計者們被迫在功能性與功率消耗上取得一個適當的平衡點。如何降低功率消耗也因此成為系統單晶片設計裡的一大挑戰。
對於這樣的功率問題,一種直接的處理方法則是去降低各功能模組的功率消耗;考量到混合地使用非同步與同步電路的系統單晶片,此論文提出兩個功率最佳化的方法,一個用於降低非同步電路的功率消耗,另一個用於降低同步電路的功率消耗。對於非同步電路,基於樣板的設計方法是一種常見的作法,其原理是透過事先設計的電路樣板來處理電路元件之間的通訊,以實現非同步電路的設計自動化;由於電路元件之間的通訊行為是電路樣板所事先定義的,所以,電路樣板的設計會大幅地影響所設計出的非同步電路的功率消耗以及其效能的表現;因此,此論文研究電路樣板的設計,並提出一個新的電路樣板設計;透過這個新的電路樣板,對於非同步電路的管線化設計的電路,能夠有效地降低電路裡因為突波的產生而造成的功率消耗。而對於同步電路,元件尺寸最佳化及臨界電壓分配這兩項技術是產業界的低功率設計流程裡常見的技術,這兩項技術在積體電路完整的開發流程裡也被廣泛地用來降低功率消耗;基於這兩項技術,此篇論文提出了一個系統化的最佳化流程,這流程裡會同時採用此兩項技術來降低電路的漏電功率消耗;為了進一步加速這整個最佳化流程,此論文另外也提出一個在不失去最佳解的情況下來降低問題複雜度的方法,以及兩個有效的平行化方法。 雖然上述的方法能夠降低電路裡功率的消耗,現代的晶片設計裡普遍在後期設計階段裡會經歷多次的工程修改命令;如此,電路很容易違反最初的時序規範,有鑑於此,此論文進一步提出兩項工程修改命令的時序最佳化方法:第一項是源自於電路合成時的技術映射的方法,憑藉重構子電路來修復整體電路的時序;第二項是源自於時鐘樹設計時的時脈規劃的方法,利用製造時鐘樹上時脈的歪斜來修復整體電路的時序。對於上述所提出來的各種方法,此論文都已進行實驗分析以顯示這些方法的有效性。在最後的部分,基於這些研究成果,此論文列舉了一些未來可能的研究方向。 | zh_TW |
dc.description.abstract | A system on a chip (SoC) is an integrated circuit (IC) that integrates multiple functional blocks in a chip for a specific application. For high-performance applications, more and more SoC designs combine functional blocks of asynchronous and synchronous circuits into the same chip, where asynchronous circuits are expected to achieve higher performance than their synchronous counterparts. On the other hand, the decreasing feature sizes enable IC designers to integrate more functional blocks into a chip than ever before. As the scale of integration grows, the increasing power density, however, forces the designers to make a trade-off between the functionality and power consumption of their chips. Reducing power consumption thus becomes a crucial concern for modern SoC designs.
This dissertation presents two strategies to minimize the power consumption of asynchronous and synchronous circuits. For asynchronous circuits, template-based design flow is widely used to automate the design of modern asynchronous circuits, where template structures strongly correlate to the power consumption and performance of the resulting circuits. Consequently, this dissertation proposes an asynchronous template that can effectively reduce glitch power consumption of asynchronous pipelines. For synchronous circuits, gate sizing and threshold voltage (Vth) assignments are two key techniques in industrial low-power design flow. Gate sizing and Vth assignments can be applied throughout the entire RTL-to-GDS flow for power and timing optimization of chip designs. This dissertation presents a systematic framework using gate sizing and Vth assignments for leakage power reduction. To be efficient, this dissertation also presents an optimality-preserving problem-size reduction technique and two parallelization methods for the proposed framework. Although the above two strategies are effective to power reduction, modern IC designs often undergo multiple engineering change orders (ECOs) in late design stages to repair design or specification errors. The resulting designs may not be able to meet their timing requirements. Consequently, this dissertation further presents two metal-only ECO techniques for timing optimization using spare cells. One is derived from technology mapping to restructure timing critical sub-circuits iteratively, and the other is derived from clock scheduling to utilize clock skew for timing optimization. Experimental results show the effectiveness and efficiency of all the proposed approaches. Finally, this dissertation provides some directions for future research. | en |
dc.description.provenance | Made available in DSpace on 2021-06-16T08:34:04Z (GMT). No. of bitstreams: 1 ntu-102-D96943039-1.pdf: 2885324 bytes, checksum: 1d87a0f7cb25246eefaa33baaba72cf7 (MD5) Previous issue date: 2013 | en |
dc.description.tableofcontents | Abstract (Chinese) ix
Abstract xi List of Tables xvii List of Figures xix Chapter 1. Introduction 1 1.1 Template Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1.1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1.1.2 Design Challenges . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 1.2 Gate Sizing and Vth Assignments . . . . . . . . . . . . . . . . . . . . . . 8 1.2.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 1.2.2 Design Challenges . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 1.3 Metal-Only ECOs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 1.3.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 1.3.2 Design Challenges . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 1.4 Overview of the Dissertation . . . . . . . . . . . . . . . . . . . . . . . . . 15 1.4.1 A New Asynchronous Pipeline Template for Power and Perfor- mance Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . 16 1.4.2 Gate Sizing and Threshold Voltage Assignments for Leakage Power Reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 1.4.3 Technology Remapping for Timing Engineering Change Orders . . 17 1.4.4 Clock Rescheduling for Timing Engineering Change Orders . . . . 18 1.5 Organization of the Dissertation . . . . . . . . . . . . . . . . . . . . . . . 18 Chapter 2. A New Asynchronous Pipeline Template for Power and Performance Optimization 19 2.1 Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 2.1.1 Four-Phase and Two-Phase Bundled-Data Protocols . . . . . . . . 21 2.1.2 Mousetrap . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 2.1.3 Self-Resetting Latches . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.2 Proposed Pipeline Template . . . . . . . . . . . . . . . . . . . . . . . . . 25 2.2.1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 2.2.2 Behavior Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 2.2.3 Hazard Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 2.2.4 Timing Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 2.3 Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 2.3.1 Four-Phase Bundled-Data Protocols . . . . . . . . . . . . . . . . . 39 2.3.2 Heterogeneous Pipelines . . . . . . . . . . . . . . . . . . . . . . . . 42 2.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 2.4.1 Comparisons with Self-Resetting Latch . . . . . . . . . . . . . . . 43 2.4.2 Comparison with Mousetrap . . . . . . . . . . . . . . . . . . . . . 45 Chapter 3. Gate Sizing and Vth Assignments for Leakage Power Re- duction 47 3.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 3.2 Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 3.2.1 Overall Framework . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 3.2.2 Optimality-Preserving Problem-Size Reduction . . . . . . . . . . . 50 3.2.3 Global Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . 54 3.2.4 Legalization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 3.2.5 Post Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 3.2.6 Parallel Static Timing Analysis . . . . . . . . . . . . . . . . . . . . 59 3.3 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 3.3.1 Experimental Setup and Benchmarks . . . . . . . . . . . . . . . . 61 3.3.2 Parallelization Results . . . . . . . . . . . . . . . . . . . . . . . . . 61 3.3.3 Overall Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 Chapter 4. Technology Remapping for Timing Engineering Change Orders 67 4.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 4.2 Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 4.3 Technology Remapping for Timing ECO . . . . . . . . . . . . . . . . . . 72 4.3.1 Algorithm Overview . . . . . . . . . . . . . . . . . . . . . . . . . . 72 4.3.2 Pre-Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 4.3.3 Table Lookup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77 4.3.4 Technology Remapping . . . . . . . . . . . . . . . . . . . . . . . . 77 4.4 Spare-Cell Searching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 4.5 Extension to Timing-aware Functional ECO . . . . . . . . . . . . . . . . 83 4.6 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 Chapter 5. Clock Rescheduling for Timing Engineering Change Or- ders 99 5.1 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 5.2 Clock Rescheduling for ECO Timing Optimization . . . . . . . . . . . . . 101 5.2.1 Flow Network Construction . . . . . . . . . . . . . . . . . . . . . . 101 5.2.2 MILP Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 5.2.3 Complexity Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . 109 5.2.4 MILP Reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 5.3 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112 Chapter 6. Concluding Remarks and Future Work 119 6.1 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 6.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 Bibliography 123 Vita 135 Publication List 137 | |
dc.language.iso | en | |
dc.title | 應用於非同步與同步電路設計之混合式系統單晶片的功率與時序最佳化方法 | zh_TW |
dc.title | Power and Timing Optimization for Hybrid SoC Designs with Asynchronous/Synchronous Designs | en |
dc.type | Thesis | |
dc.date.schoolyear | 102-1 | |
dc.description.degree | 博士 | |
dc.contributor.oralexamcommittee | 陳宏明(Hung-Ming Chen),李建模(Chien-Mo Li),李毅郎(Yih-Lang Li),王俊堯(Chun-Yao Wang),江介宏(Jie-Hong Jiang) | |
dc.subject.keyword | 系統單晶片,非同步電路設計,元件尺寸最佳化,臨界電壓分配,工程修改命令, | zh_TW |
dc.subject.keyword | System on a Chip,Asynchronous Design,Gate Sizing,Threshold Voltage Assignments,Engineering Change Orders, | en |
dc.relation.page | 138 | |
dc.rights.note | 有償授權 | |
dc.date.accepted | 2013-12-02 | |
dc.contributor.author-college | 電機資訊學院 | zh_TW |
dc.contributor.author-dept | 電子工程學研究所 | zh_TW |
顯示於系所單位: | 電子工程學研究所 |
文件中的檔案:
檔案 | 大小 | 格式 | |
---|---|---|---|
ntu-102-1.pdf 目前未授權公開取用 | 2.82 MB | Adobe PDF |
系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。