Skip navigation

DSpace

機構典藏 DSpace 系統致力於保存各式數位資料(如:文字、圖片、PDF)並使其易於取用。

點此認識 DSpace
DSpace logo
English
中文
  • 瀏覽論文
    • 校院系所
    • 出版年
    • 作者
    • 標題
    • 關鍵字
    • 指導教授
  • 搜尋 TDR
  • 授權 Q&A
    • 我的頁面
    • 接受 E-mail 通知
    • 編輯個人資料
  1. NTU Theses and Dissertations Repository
  2. 電機資訊學院
  3. 電子工程學研究所
請用此 Handle URI 來引用此文件: http://tdr.lib.ntu.edu.tw/jspui/handle/123456789/43073
完整後設資料紀錄
DC 欄位值語言
dc.contributor.advisor吳安宇(An-Yeu (Andy)
dc.contributor.authorShu-Yen Linen
dc.contributor.author林書彥zh_TW
dc.date.accessioned2021-06-15T01:35:37Z-
dc.date.available2011-07-23
dc.date.copyright2009-07-23
dc.date.issued2009
dc.date.submitted2009-07-16
dc.identifier.citation[1] ITRS, International Technology Roadmap for Semiconductors, http://public.itrs.net.
[2] J. A. Davis et al., “Interconnect Limits on Gigascale Integration (GSI) in the 21st Century,” Proc. IEEE, vol. 89, pp. 305-324, Mar. 2001.
[3] R. Ho, K. W. Mai, and M. A. Horowitz, “The Future of Wires,” Proc. IEEE, vol. 89, pp. 490-504, April. 2001.
[4] D. Sylvester and K. Keutzer, “A Global Wiring Paradigm for Deep Submicron Design,” IEEE Trans. CAD/ICAS, vol. 19, pp. 242-252, Feb. 2000.
[5] L. Benini and G. De Micheli, “Network on chip: a new paradigm for systems on chip design,” IEEE Computer, vol. 35, pp. 70-78, Jan. 2002.
[6] P. Magarshack and P. G. Paulin, “System-on-Chip beyond the Nanometer Wall,” in Proc. DAC, Anaheim, pp. 419-424, 2003.
[7] S. Kumar et al., “A Network on Chip Architecture and Design Methodology,” in Proc. Int’l Symp. VLSI, pp. 105-112, 2002.
[8] P. Guerrier and A. Greiner, “A Generic Architecture for On-Chip Packet-Switched Interconnections,” in Proc. DATE, Piscataway, N.J., pp. 250-256, 2000.
[9] K. Goossens, J. Dielissen, and A. Radulescu, “AEthereal Network on Chip: Concepts, Architectures, and Implementations,” IEEE Design and Test of Computers, vol. 22, pp. 414-421, Oct. 2005.
[10] S. G. Pestana et. al., “Cost-Performance Trade-Offs in Networks on Chip: A Simulation-Based Approach,” in Proc. DATE, CNIT La Defese, Paris, France, pp. 764-769, 2004.
[11] BONE, Basic On-Chip Network, http://ssl.kaist.ac.kr/ocn/.
[12] D. Bertozzi and L. Benini, “Xpipes: A Network-on-Chip Architecture for Gigascale Systems-on-Chip,” IEEE Circuits Syst. Magazine, vol. 4, pp. 18-31, 2004.
[13] E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny, “QNoC: QoS Architecture and Design Process for Network on Chip,” Journal of Systems Architecture, vol. 50, pp. 105-128, Feb. 2004.
[14] M.K.F Schafer, T. Hollstein, H. Zimmer, M. Glesner, “Deadlock-Free Routing and Component Placement for Irregular Mesh-Based Networks-on-Chip,” in ICCAD, 2005, pp. 238-245
[15] K-H. Chen and G-M. Chiu, “Fault-Tolerant Routing Algorithm for Meshes without Using Virtual Channels,” Journal of Information Science and Engineering, vol.14, pp.765-783, Dec. 1998.
[16] R. V. Boppana and S. Chalasani, “Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks,” IEEE Trans. Computers, vol. 44, pp. 848-864, 1995.
[17] Jie Wu, “A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model,” IEEE Trans. Computers, vol. 52, pp. 1154-1169, Sept. 2003.
[18] R. Holsmark and S. Kumar, “Design Issues and Performance Evaluation of Mesh NoC with Regions,” in NORCHIP, Oulu, Finland, 2005, pp. 40-43.
[19] G.J. Glass and L.M. Ni, “The Turn Model for Adaptive Routing,” J. ACM, vol. 40, pp. 874-902, Sept. 1994.
[20] G.M. Chiu, “The Odd-Even Turn Model for Adaptive Routing,” IEEE Trans. Parallel and Distributed Systems, vol. 11, pp. 729-737, July 2000.
[21] J. Hu and R. Marculescu, “DyAD - Smart Routing for Networks-on-Chip,” in DAC, San Diego, Ca, pp. 260-263, 2004.
[22] J. Hu and R. Marculescu, “Energy- and Performance-Aware Mapping for Regular NoC Architecture,” IEEE Trans. Computer-Aided Design of Integrated and Systems, vol. 24, pp. 551-562, Apr. 2005.
[23] Daniel Greenfield, Arnab Banerjee, Jeong-Gun Lee and Simon Moore, “Implications of Rent's Rule for NoC Design and Its Fault-Tolerance,” in Proc. of the ACM/IEEE Int. Symp. on Networks-on-Chip (NOCS-2007), Princeton, New Jersey, pp. 283-294, May 2007.
[24] R. Holsmark and S. Kumar, “Corrections to Chen and Chiu’s Fault Tolerant Routing Algorithm for Mesh Networks,” Journal of Information Science and Engineering, vol. 23, pp. 1649-1662, May 2007.
[25] J. Hu and R. Marculescu, “Energy-Aware Mapping for Tile-based NoC Architectures Under Performance Constraints,” in Proc. ASP-DAC’03, pp. 233-239, Jan 2003.
[26] S.R. Sridhara and N.R. Shanbhag, “Coding for system-on-chip networks: a unified framework,” IEEE Tran. Very Large Scale Integration (VLSI) Systems, vol. 13, pp. 655- 667, June 2005.
[27] C. Duan, A. Tirumala, and S. P. Khatri, “Analysis and avoidance of cross-talk in on-chip buses,” IEEE Symposium on High-Performance Interconnects (HOT Interconnects), pp. 133–138, Aug 2001.
[28] S. Murali and G. De Micheli, “Bandwidth-Constrained Mapping of Cores onto NoC Architectures,” in Proceedings of the conference on Design, automation and test in Europe (DATE ’04), vol. 2, pp. 896 – 901, Feb. 2004.
[29] Shu-Yen Lin, Chun-Hsiang Huang, Chih-hao Chao, Keng-Hsien Huang, and An-Yeu Wu,'Traffic-Balanced Routing Algorithm for Irregular Mesh-Based On-Chip Networks,' IEEE Trans. Computers, vol. 57, no. 9, pp. 1156–1168, Sept. 2008.
[30] SynTest, “TurboFault Reference Manual Rev 1.83,” March 6, 2003.
[31] M. Hosseinabady, A. Banaiyan, M.N. Bojnordi, and Z. Navabi, “A concurrent testing method for NoC switches,” in Proceedings of the conference on Design, automation and test in Europe (DATE ’06), pp. 1171-1176, Munich, Germany, 2006
[32] K. Petersén and J. Öberg, “Toward a scalable test methodology for 2D-mesh Network-on-Chips,” in Proceedings of the conference on Design, automation and test in Europe (DATE ’07), pp. 367-372, 2007.
[33] A.M. Amory, E. Briao, E. Cota, M. Lubaszewski, and F.G. Moraes, “A scalable test strategy for network-on-chip routers”, in Proceedings of IEEE International Test Conference(ITC ’05), Nov. 2005.
[34] F. Yuan, L. Huang, and Q, Xu, 'Re-Examining the Use of Network-on-Chip as Test Access Mechanism,' in Design, Automation and Test in Europe (DATE '08), pp. 808-811, March 2008.
[35] A.M. Amory, K. Goossens, E.J. Marinissen, and M. Lubaszewski, 'Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism,' in Proceedings of the Eleventh IEEE European Test Symposium, pp. 213-218, 2006.
[36] E. Cota and C. Liu, 'Constraint-Driven Test Scheduling for NoC-Based Systems,' in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp.2465-2478, vol. 25, November 2006.
[37] C. Grecu, P. Pande, A. Ivanov, and R. Saleh, “BIST for network-on-chip interconnect infrastructures,” in Proceedings of 24th IEEE VLSI Test Symposium, April, 2006.
[38] Jingcao Hu and Radu Marculescu, “DyAD: smart routing for networks-on-chip,” in Proceedings of 41st Design Automation Conference, pp. 260-263, 2004.
[39] J. Hu and R. Marculescu, 'Application-specific buffer space allocation for networks-on-chip router design,' in IEEE/ACM International Conference on Computer Aided Design (ICCAD-2004), pp.354-361, Nov. 2004.
[40] Shu-Yen Lin, Wen-Chung Shen, Chan-Cheng Hsu, and An-Yeu Wu, 'Fault-tolerant Router with Built-in Self-test/Self-diagnosis and Fault-isolation Circuits for 2D-mesh Based Chip Multiprocessor,' in International Journal of Electrical Engineering (IJEE), vol. 16, no. 3, pp. 213-222 2009.
[41] Shu-Yen Lin, Wen-Chung Shen, Chan-Cheng Hsu, Chih-Hao Chao, and An-Yeu Wu, 'Fault-tolerant Router with Built-in Self-test/Self-diagnosis and Fault-isolation Circuits for 2D-mesh Based Chip Multiprocessor Systems' to be appeared in Proc. IEEE Int. Symp. VLSI Design, Automation, and Test (VLSI-DAT-2009), Hsinchu, Taiwan, pp. 72-75, April, 2009.
[42] Shu-Yen Lin, Chan-cheng Hsu, and An-Yeu Wu, 'A Scalable Built-in Self-Test/Self-Diagnosis Architecture for 2D-mesh Based Chip Multiprocessor Systems,' to be appeared in Proc. IEEE Int. Symp. Circuits and Systems (ISCAS-2009), Taipei, Taiwan, pp. 2317-2320, May, 2009.
[43] T. S. Rosing, K. Mihic, G. De Micheli, “Power and Reliability Management of SoCs,” IEEE Trans. On Very Large Scale Integrated (VLSI) Systems, vol. 15, no. 4, pp. 391-403, April 2007.
[44] S. Gunther, F. Binns, D. Carmean, and J. Hall, “Managing the impact of increasing microprocessor power consumption,” Intel Technol. J., pp. 33–45, May 2001.
[45] S. Murali and G. De Micheli, “Bandwidth Constrained Mapping of Cores onto NoC Architectures,” Design, Automation and Test in Europe Conference and Exhibition (DATE), vol. 2, pp. 896-901, Feb. 2004.
[46] W.T. Shen, C.H. Chao, Y.K. Lien, and A.Y. Wu, “A New Binomial Mapping and Optimization Algorithm for Reduced-Complexity Mesh-based On-Chip Network,” First International Symposium on Networks-on-Chip (NOCS'07), pp. 317-322, 2007.
[47] X. Leng, N. Xu, F. Dong, Z. Zhou, “Implementation and Simulationof A Cluster-based Hierarchical NoC Architecture for Multi-Processor SoC,” IEEE International Symposium Communications and Information Technology, vol. 2, pp. 1203-1206, Oct. 2005.
[48] T. Hollstein and M. Glesner, “Advanced hardware/software codesign on reconfigurable network-on-chip based hyper-platforms,” Computer & Electrical Engineering, Hardware/Software System on Chip Co-design: Approach and Application, vol. 33, issue 4, pp. 310-319, July 2007.
[49] J. Chang, S. Parameswaran, “NoCGEN:a template based reuse methodology for Networks On Chip architecture,” VLSI Design, 17th International Conference on Publication, pp. 717-720, 2004.
[50] R. Gindin, I. Cidon, I .Keidar, “NoC-Based FPGA: Architecture and Routing,” First International Symposium on Networks-on-Chip(NOCS'07), pp. 253–264, 2007.
[51] Ting-Jung Lin, Shu-Yen Lin and An-Yeu Wu, “Traffic-Balanced IP Mapping Algorithm for 2D-MESH On-Chip-Networks, ” in Proc. IEEE Workshop on Signal Processing Systems (SiPS-2008), DC, USA, pp. 200-203, Oct. 2008
[52] Yatin Hoskote, Sriram Vangal, Arvind Singh, Nitin Borkar, Shekhar Borkar, ” A 5-GHz Mesh Interconnect for A Teraflops Processor,” IEEE MICRO, vol. 27, pp. 51-61, 2007.
[53] Zhen Zhang, A. Greiner, and S. Taktak, “A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip,” 45th ACM/IEEE Design Automation Conference, 2008.
[54] Jaroš J., Ohlídal M., and Dvořák V., “Complexity of Collective Communications on NoCs,” in: Proc. of 5th International Symposium on Parallel Computing in Electrical Engineering, IEEE CS Press, pp. 127-132. Los Alamitos, CA, US, 2006.
[55] Krishnan Srinivasan, Karam S. Chatha, and Goran Konjevod, “Application Specific Network-on-Chip Design with Guaranteed Quality Approximation Algorithms,” in Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007.
[56] W. J. Dally and B. Towles, “Route packets, not wires: On-chip interconnection networks,” in Proceedings of the Design Automation Conference, Jun. 2001, pp. 684-689.
[57] L.-S. Peh and W. J. Dally, “A delay model for router microarchitectures,” IEEE Micro, vol. 21, pp. 26-34, 2001.
[58] T. Hollstein, R. Ludewig, C. Mager, P. Zipf, and M. Glesner. “A hierarchical generic approach for onchip communication, testing and debugging of SoCs,” in Proc. of the VLSI-SoC 2003, pages 44–49, Dec. 2003.
[59] D. H. Linder and J. C. Harden, “An adaptive and fault-tolerant wormhole routing strategies for k-ary n-cubes,” IEEE Transactions on Computer, Vol. 40, pp. 2-12, 1991.
[60] A. A. Chien and J. H. Kim, “Planar-adaptive routing: Low-cost adaptive networks for multiprocessors,” The 19th Annual International Symposium on Computer Architecture, pp. 268-277, 1992.
[61] R. V. Boppana and S. Chalasani, “Fault-tolerant wormhole routing algorithms for mesh networks” IEEE Transactions on Computer, vol. 44, no. 7, pp. 848-864, 1995.
[62] Y. M. Boura and C. R. Das, “Fault-tolerant routing in mesh networks,” in Proceedings of 1995 International Conference on Parallel Processing, pp. I-106-I-109, 1995.
[63] L.Bononi and N.Concer, “Simulation and Analysis of Network on Chip Architectures: Ring, Spidergon and 2D Mesh,” in Proceedings of the conference on Design, automation and test in Europe (DATE06), pp. 154-159, 2006.
[64] L.-T. Wang, C.-W. Wu, and X. Wen., VLSI Test Principles and Architectures, San Francisco: Morgan Kaufmann, 2006.
[65] Se-Joong Lee, et al, “An 800MHz Star-Connected On-Chip Network for Application to Systems on a chip,” ISSCC Digest of Technical Papers, pp. 468-469, 2003.
[66] M Koibuchi, H Matsutani, H Amano, and TM Pinkston, “A Lightweight Fault-Tolerant Mechanism for Network-on-Chip,” in Second ACM/IEEE International Symposium on Networks-on-Chip, 2008 (NoCS 2008), pp. 13-22, April 2008.
[67] C. Cunningham and D. Avresky. “Fault-tolerant adaptive routing for two-dimensional meshes,” the 1st IEEE Symposium on High-Performance Computer Architecture, pp. 122–131, 1995.
[68] C.C. Su and K.G. Shin, “Adaptive Fault-Tolerant Deadlock-Free Routing in Meshes and Hypercubes,” IEEE Trans. Computers, vol. 45,no. 6, pp. 666-682, June 1996.
[69] J. Raik, V. Govind, and R. Ubar, “An External Test Approach for Network-on-a-Chip Switches,” in 15th Asian Test Symposium, 2006 (ATS '06), pp. 437-442, Nov. 2006.
[70] P.P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh, “Performance Evaluation and Design Trade-Offs for Networkon-Chip Interconnect Architectures”, IEEE Trans. On Computers, vol. 54, no. 8, pp. 1025-1040, Aug. 2005.
[71] E. Bolotin, I. Cidon, R. Ginosar and A. Kolodny, “Routing Table Minimization for Irregular Mesh NoCs,” in DATE, Acropolis, Nice, France, 2007.
dc.identifier.urihttp://tdr.lib.ntu.edu.tw/jspui/handle/123456789/43073-
dc.description.abstract晶片內網路已被提出來解決未來複雜的晶片內通訊問題。在本論文中,我們針對可調整式拓樸結構之網狀晶片內網路來探討,包含故障格狀網路與非規則格狀網路。針對故障格狀網路,我們提出路徑穿越容錯繞進演算法(Through-Path Fault-Tolerant Routing, TP-FT)來解決故障格狀網路的繞徑問題。運用TP-FT演算法的晶片內網路相較於使用傳統容錯繞徑演算法可以有較佳的網路效能。在我們的實驗中,透過分析三種不同的狀況可證明TP-FT演算法可以改進2.9%~45.4%通量 (Throughput)。此外,我們設計了兩種內見自我測試與診斷(Built-in Self-Test/Self-Diagnosis,BIST/SD)與錯誤隔離(Fault isolation, FI)的架構來檢測,診斷,與隔絕路由器中故障的先進先出暫存器和多工器。在我們的實驗中,20PR內建的BIST/SD執行時只需要117固定的測試週期時間,STR則需要144~376測試週期時間。實現採用20PR的晶片內網路架構需要額外增加15.17%硬體面積,而採用STR的晶片內網路架構只需增加8.48%~13.3%硬體面積。
  針對非規則格狀網路,我們提出了一個避開OIP預先路由演算法 (OIP avoidance pre-routing algorithm, OAPR)以解決非規則格狀網路的繞徑問題。OAPR可將交通負載平均分散到整個網路上,並且減少不必要的繞路行為,以縮短封包的路徑。所以,使用OAPR的網路與傳統的容錯路由演算法相比有較低的延遲以及較高的通量。在我們的實驗中,有四個不同的例子證明OAPR相較於其他兩種容錯路由演算法,增進了13.3%~100%的通量。最後,我們將演算法實現在硬體上,與整個晶片內的路由器比較起來,只佔不到1%的面積。此外,在非規則格狀網路中,尺寸過大之矽智產 (Oversized IP, OIP)的擺放位置與轉向方式(OIP positions/orientations),會嚴重影響網路效能。我們針對OIP預先路由演算法分析不同擺放位置與轉向方式並定出一些準則。根據這些準則,使用者在利用OIP預先路由演算法可以決定要如何尺寸過大之矽智產的位置以達到較佳的網路效能。
zh_TW
dc.description.abstractOn-Chip Networks (OCNs) have been proposed to solve the complex on-chip communication problems. In this dissertation, we focus on mesh-based OCNs with adjustable topology, which considers both irregular meshes and faulty meshes for future SoC designs. For faulty meshes, we propose new Fault-Tolerant (FT) routing algorithms, called Through-Path Fault-Tolerant (TP-FT) routing algorithms to solve the routing problems in the faulty meshes. The OCNs using these TP-FT routings can results in better network performance in comparison with traditional FT routings. In our experiments, three different cases are simulated to demonstrate that the proposed TP-FT improves 2.9%~45.4% sustainable throughputs than traditional FT routings. Besides, we design two Built-in Self-Test/Self-Diagnosis (BIST/SD) and Fault-Isolation (FI) circuits to detect, locate, and isolate the impacts of the faulty FIFOs and MUXs in the fault routers. In our experiments, the BIST/SD of the 20PR can be executed in 117 constant test cycles and the STR can be executed in 144~376 test cycles. The extra overhead of the OCN using 20PRs increases 15.17%, while the OCNs with STRs increase 8.48%~13.3%.
For irregular meshes, we propose a traffic-balanced routing algorithm, called OIP Avoidance Pre-Routing (OAPR), to solve the routing problems in the irregular meshes. The proposed OAPR can make traffic loads evenly spread on the networks and shorten average paths of packets. Therefore, the networks using the OAPR have lower latency and higher throughput than those using fault-tolerant routing algorithms. In our experiments, four different cases are simulated to demonstrate that the proposed OAPR improves 13.3%~100% sustainable throughputs than two previous fault-tolerant routing algorithms. Moreover, the hardware overhead of the OAPR is less than 1% compared to the cost of a whole router. Besides, the positions and orientations of oversized IPs (OIP positions/orientations) influence the network perofmrance hugely. We analyze the OIP positions/orientations based on OAPR and define some rules. According to these rules, designers can determine how to locate OIPs to achieve better network performance for the OCNs using the OAPR.
en
dc.description.provenanceMade available in DSpace on 2021-06-15T01:35:37Z (GMT). No. of bitstreams: 1
ntu-98-D93943010-1.pdf: 6103183 bytes, checksum: 5a739490bec85b83b59f450a796e18ba (MD5)
Previous issue date: 2009
en
dc.description.tableofcontentsAcknowledgment (致謝) I
中文摘要 III
Abstract V
Contents VII
Lists of Figures IX
Lists of Tables XIV
Chapter 1 Introduction 1
1.1 Background 1
1.2 Motivation and Goal 7
Chapter 2 Reviews of Mesh-Based On-Chip Networks and Routing Algorithms 13
2.1 An Overview of Mesh-Based Topologies 13
2.1.1 2D mesh Topology 13
2.1.2 Irregular Mesh Topology 14
2.1.3 Faulty Mesh Topology 15
2.2 Fault-Tolerant Routing algorithms 16
2.2.1 Extended XY Routing (E-XY) 17
2.2.2 Chen and Chiu’s Routing Algorithm (Chen and Chiu’s) 20
2.2.3 Modified X-First Routing (MXF) 21
2.3 Testing Mechanisms for Faulty Meshes 23
2.3.1 DfT-based Solutions 23
2.3.2 BIST-based Solutions 24
Chapter 3 Through-Path Fault-Tolerant Routing Algorithms 26
3.1 20-Path Router Model 27
3.2 Searching Algorithm for the Through Paths 29
3.3 Through-Path Fault-Tolerant (TP-FT) Routing Algorithm 30
3.3.1 Through-Path Modified X-First (TP-MXF) Routing 30
3.3.2 Through-Path Extended XY (TP-E-XY) Routing 32
3.3.3 Through-Path Chen and Chiu’s (TP- Chen and Chiu’s) Routing 32
3.4 Experiments and Performance Analysis 35
3.4.1 Traffics of Single F-ring 36
3.4.2 Traffics of Multiple F-rings 44
3.4.3 Traffics of Multiple F-chains 47
Chapter 4 Built-in Self-Test/Self-Diagnosis and Fault-Isolation Architectures 49
4.1 20-Path Router Architecture (20PR) 50
4.1.1 Architecture of a Generic XY Router 51
4.1.2 BIST and BISD Circuit 52
4.1.3 Fault-Isolation Circuit 55
4.2 Surrounding Test Ring (STR) 56
4.2.1 Test, Diagnosis, and Isolation Methods 59
4.2.2 Architecture of STR 66
4.3 Implementations and Experiments 68
4.3.1 Overhead 68
4.3.2 Testability of the BIST/SD 69
Chapter 5 OIP Avoidance Pre-Routing (OAPR) Algorithm 71
5.1 Default Routing 73
5.2 Single OIP 73
5.3 Multiple OIPs 76
5.4 f-chain 78
5.5 Hardware Overhead of the OAPR 81
Chapter 6 Performance Evaluation and Hardware Overhead of OAPR 84
6.1 Traffics of Single OIP 85
6.2 Traffics of Multiple OIPs 89
6.3 Traffics of an f-ring and f-chains 91
6.4 A Case Study: A MultiMedia System 94
Chapter 7 Positions and Orientations of Oversized IPs 96
7.1 Restrictions on OIP Locations 97
7.2 Rule of OIP Positions/Orientations based on OAPR 98
7.3 Rules of OIP Positions/Orientations for Multiple OIPs 102
Chapter 8 Conclusions and Future Works 106
8.1 Conclusions 106
8.2 Future Works 107
Bibliography 109
dc.language.isoen
dc.subject容錯zh_TW
dc.subject晶片內網路zh_TW
dc.subject網狀拓樸zh_TW
dc.subject路由演算法zh_TW
dc.subjectMesh Topologyen
dc.subjectFault Toleranceen
dc.subjectRouting Algorithmen
dc.subjectOn-Chip Networksen
dc.title適用於可調整式拓樸結構之網狀晶片內網路路由演算法與架構zh_TW
dc.titleRouting Algorithms and Architectures for Mesh-Based On-Chip Networks with Adjustable Topologyen
dc.typeThesis
dc.date.schoolyear97-2
dc.description.degree博士
dc.contributor.oralexamcommittee吳誠文(Cheng-Wen Wu),盧奕璋(Yi-Chang Lu),林銘波(Ming-Bo Lin),楊佳玲(Chia-Lin Yang),邱?德(Ching-Te Chiu),洪士灝(Shih-Hao Hung),呂學坤(Shyue-Kung Lu)
dc.subject.keyword晶片內網路,網狀拓樸,路由演算法,容錯,zh_TW
dc.subject.keywordOn-Chip Networks,Mesh Topology,Routing Algorithm,Fault Tolerance,en
dc.relation.page117
dc.rights.note有償授權
dc.date.accepted2009-07-17
dc.contributor.author-college電機資訊學院zh_TW
dc.contributor.author-dept電子工程學研究所zh_TW
顯示於系所單位:電子工程學研究所

文件中的檔案:
檔案 大小格式 
ntu-98-1.pdf
  未授權公開取用
5.96 MBAdobe PDF
顯示文件簡單紀錄


系統中的文件,除了特別指名其著作權條款之外,均受到著作權保護,並且保留所有的權利。

社群連結
聯絡資訊
10617臺北市大安區羅斯福路四段1號
No.1 Sec.4, Roosevelt Rd., Taipei, Taiwan, R.O.C. 106
Tel: (02)33662353
Email: ntuetds@ntu.edu.tw
意見箱
相關連結
館藏目錄
國內圖書館整合查詢 MetaCat
臺大學術典藏 NTU Scholars
臺大圖書館數位典藏館
本站聲明
© NTU Library All Rights Reserved